CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 Windows编程 其他小程序

资源列表

« 1 2 ... .42 .43 .44 .45 .46 19147.48 .49 .50 .51 .52 ... 19301 »
  1. Indy10.5.7

    5下载:
  2. delphi 2010自带的10.5.5版本的Indy在支持OpenSSL方面存在问题,最好是升级到10.5.7(the 10.0.5 version indy has some problem with delphi 2010 in dealing openssl you can update it to 10.5.7 to fix it)
  3. 所属分类:其他

    • 发布日期:2019-05-09
    • 文件大小:5483520
    • 提供者:金属狂人
  1. matlab编程100例

    5下载:
  2. 一款关于comso模拟软件,可以帮助读者建立数值模拟模型。(A comso simulation software can help readers build a numerical simulation model.)
  3. 所属分类:其他

    • 发布日期:2018-12-19
    • 文件大小:27648
    • 提供者:大妞子
  1. PPNGA+SFLA_V3.0

    5下载:
  2. 这个是混合蛙跳算法做流水车间调度问题,能够很好的解决该类问题,此代码比较简单,适合初学者。(This is a shuffled frog leaping algorithm for flow shop scheduling problem. It can solve this problem very well. This code is relatively simple and suitable for beginners.)
  3. 所属分类:其他

    • 发布日期:2019-07-02
    • 文件大小:94208
    • 提供者:RenJocky
  1. UELcode

    5下载:
  2. 一个内聚模型在ABAQUS中的实现。文档伴随着文件uel3.f-一个用户元素子程序(UEL)和一个内聚区域模型的一般实现。如果使用此代码进行研究或工业用途,请引用:S. del Busto, C. Beteg′on, E. Mart′?nez-Paneda. A cohesive zone frameworkfor environmentally assisted fatigue. Engineering Fracture Mechanics.(Documentation that accomp
  3. 所属分类:其他

    • 发布日期:2018-11-19
    • 文件大小:421888
    • 提供者:Eden.
  1. 2015远程秒杀源码开源

    5下载:
  2. 功能齐全。什么都有,秒上线,断线自动重连。可以二次开发(The function is complete. Everything has, second line, broken line automatically reconnect. It can be developed two times)
  3. 所属分类:其他

    • 发布日期:2019-12-29
    • 文件大小:1857536
    • 提供者:金豆子
  1. SSTVARToolbox

    5下载:
  2. 平滑转换向量自回归模型的估计、检验以及应用,包含若干子代码(The estimation, inspection and application of the smoothing transformation vector auto regression model contain several sub codes.)
  3. 所属分类:其他

    • 发布日期:2018-08-07
    • 文件大小:113664
    • 提供者:hanm
  1. power_wind_dfig

    5下载:
  2. 超好用的双馈风机接入单机无穷大系统,超详细的风机模型,可用于分析风机并网特性,文件包含风机继电保护与控制模块(The super - used doubly fed fan is connected to the single machine infinite system. The ultra detailed fan model can be used to analyze the characteristics of the fan's grid connection. The file
  3. 所属分类:其他

    • 发布日期:2019-05-19
    • 文件大小:120832
    • 提供者:牛旋风
  1. Microgrid00_original

    5下载:
  2. 实现不同控制下的直流配电网仿真,基于vsc的直流配电网仿真(Realize DC power distribution network simulation under different control)
  3. 所属分类:其他

    • 发布日期:2019-09-18
    • 文件大小:59392
    • 提供者:向阳12306
  1. M7lrvCMS商业版最终版本

    5下载:
  2. M7lrvCMS商业版最终版本 破解版功能齐全 如cms识别 c段查询 后台扫描 shell管理 批量注入等功能(M7lrvCMS commercial version of the final version of the cracked version is fully functional, such as CMS recognition C segment query background scanning, shell management, batch injection and o
  3. 所属分类:其他

    • 发布日期:2019-11-06
    • 文件大小:13681664
    • 提供者:cike123
  1. vivado 2018 license

    5下载:
  2. Vivado Design Suite HLx 2018.1 xilinx_vivado_2018_license Xilinx_Vivado_SDK_2018.1
  3. 所属分类:其他

    • 发布日期:2018-09-27
    • 文件大小:3072
    • 提供者:厚道载物
  1. 无人机编队算法

    5下载:
  2. 无人机编队算法,使用matlab 建立无人机仿真系统,展示无人机的编队飞行,并通过模拟驱动一个VRML(虚拟现实建模语言)虚拟世界来实现可视化,使虚拟世界中的交通工具可以在仿真运行时看到(The UAV formation algorithm, using the MATLAB to establish the UAV Simulation System, shows the formation flight of the UAVs, and drives a VRML (Virtual Rea
  3. 所属分类:其他

    • 发布日期:2018-12-06
    • 文件大小:672768
    • 提供者:Sunburst_L
  1. memd

    5下载:
  2. emd改进算法,克服了emd算法的模态混叠,屏蔽经验模态分解算法。(The Improved EMD algorithm overcomes the modal aliasing of EMD algorithm and shields the empirical mode decomposition algorithm.)
  3. 所属分类:其他

    • 发布日期:2019-12-04
    • 文件大小:1024
    • 提供者:明明如月0
« 1 2 ... .42 .43 .44 .45 .46 19147.48 .49 .50 .51 .52 ... 19301 »
搜珍网 www.dssz.com