CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 Windows编程 其他小程序

资源列表

« 1 2 ... .18 .19 .20 .21 .22 13323.24 .25 .26 .27 .28 ... 19301 »
  1. 8fbb509537ae07120ade8ad45456d6a3

    0下载:
  2. 四元数的优势: 三维空间的旋转完全可以由4元数来胜任。传统意义上需要3×3矩阵来进行向量的旋转(4x4矩阵的第四列表示平移)。所以四元数更节省空间,运算速度更快。既然四元数能方便的表示3D旋转,那么对他们进行插值就能产生平滑的旋转效果。-Quaternion advantages: the rotation of three-dimensional space can count from $ 4 to do the job. Traditionally need 33 for vector r
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-04
    • 文件大小:413542
    • 提供者:王飞
  1. mycolor

    0下载:
  2. 获取屏幕颜色的软件,经过测试,非常好用,功能强大-Get screen color software
  3. 所属分类:Other systems

    • 发布日期:2017-11-13
    • 文件大小:413606
    • 提供者:陈继兵
  1. PEEncryptV1.00

    0下载:
  2. 一个加壳器的示例代码,可以做为入门时参考,理解加壳原理。-1 packers device sample code can serve as entry-reference, understanding, packers principles.
  3. 所属分类:Other systems

    • 发布日期:2017-04-17
    • 文件大小:413618
    • 提供者:yzx
  1. pb2xlsDemo

    0下载:
  2. powerbuilder for excel DEMO version... pb10
  3. 所属分类:Other systems

    • 发布日期:2017-03-28
    • 文件大小:413620
    • 提供者:Bang
  1. CRC16

    0下载:
  2. crc16校验算法的实现,包含查表与非查表两种实现方式-crc16
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-16
    • 文件大小:413622
    • 提供者:jacklii
  1. Robot

    0下载:
  2. 画机器人,就是这样,大家自己看看应该就知道了吧。-Painting robot, that is the case, we could see for himself should be aware of it.
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-25
    • 文件大小:413666
    • 提供者:し可お
  1. QTcode_mine

    0下载:
  2. QT学习之路2的部分源码,18到27节,不是很全,但是都能实现-Part of the learning path QT source 2, 18-27, not very wide, but can be achieved
  3. 所属分类:Other systems

    • 发布日期:2017-04-30
    • 文件大小:413667
    • 提供者:hugo
  1. SquareGame

    0下载:
  2. 一个小的方块游戏,仅仅供大家有需要的话进行学习。逻辑非常简单。用java实现-a small square game for study
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-25
    • 文件大小:413673
    • 提供者:Goose
  1. mytest

    0下载:
  2. 基于CLAHE的车牌识别算法-The license plate recognition algorithm based on CLAHE
  3. 所属分类:Other systems

    • 发布日期:2017-11-20
    • 文件大小:413692
    • 提供者:楚荣
  1. Miscellaneous-Devices.IntLib

    2下载:
  2. AltiumDesigner14中原始库,常用元器件及连接器-AltiumDesigner14 the original library, common components and connectors
  3. 所属分类:Other systems

    • 发布日期:2017-03-07
    • 文件大小:413696
    • 提供者:bo
  1. quanpailie

    0下载:
  2. 输入n,对1到n的整数全排列并按照大小顺序输出-Input n, all integers 1 to n are arranged and output in order of size
  3. 所属分类:Other windows programs

    • 发布日期:2017-12-18
    • 文件大小:413696
    • 提供者:张杰
  1. ksasyhw

    0下载:
  2. 摄像头音视频录像,抓拍,回放等,非常好用,不错(Audio and video cameras, capture and playback, is very nice, nice)
  3. 所属分类:其他

    • 发布日期:2017-12-24
    • 文件大小:413696
    • 提供者:rekarde
« 1 2 ... .18 .19 .20 .21 .22 13323.24 .25 .26 .27 .28 ... 19301 »
搜珍网 www.dssz.com