CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 通讯/手机编程

资源列表

« 1 2 ... .22 .23 .24 .25 .26 6927.28 .29 .30 .31 .32 ... 7782 »
  1. VERILOG_UART

    0下载:
  2. Simple implementation of UART on Verilog
  3. 所属分类:串口编程

    • 发布日期:2018-01-10
    • 文件大小:3072
    • 提供者:123_abc_123
  1. pcpxkhu

    0下载:
  2. SQILTE3的一些基本函数的封装,包括文件的创建,打开,添加记录,查询记录,删除记录,计算记录条数和总数()
  3. 所属分类:串口编程

    • 发布日期:2018-01-10
    • 文件大小:3072
    • 提供者:ierfod
  1. 虚拟示波器

    1下载:
  2. 串口数据用波形显示出来,串口默认的波特率115200(The serial data is displayed with the waveform, the default baud rate of the serial port is 115200)
  3. 所属分类:串口编程

    • 发布日期:2018-01-10
    • 文件大小:3072
    • 提供者:乐小邦
  1. HHBTDRU0

    0下载:
  2. 是男人就挺过30秒 典型的手机游戏 适合开发入门学习()
  3. 所属分类:串口编程

    • 发布日期:2018-01-11
    • 文件大小:3072
    • 提供者:proressy
  1. IFWVUF

    0下载:
  2. window mobile 访问 SQL SERVER数据库()
  3. 所属分类:串口编程

    • 发布日期:2018-04-19
    • 文件大小:3072
    • 提供者:XHSJ%2526021319
  1. SLUEF2

    0下载:
  2. 文件隐藏技术 自动隐藏进程 在任务栏看不到,()
  3. 所属分类:串口编程

    • 发布日期:2018-04-20
    • 文件大小:3072
    • 提供者:hypevlefk
  1. 920806

    0下载:
  2. OFDM系统中以PN序列作为循环前缀和传统梳状导频信道估计方法对比()
  3. 所属分类:串口编程

    • 发布日期:2018-04-21
    • 文件大小:3072
    • 提供者:qozcpk
  1. rpppc

    0下载:
  2. 对于QPSK调制的仿真系统,应该能看的懂()
  3. 所属分类:串口编程

    • 发布日期:2018-04-21
    • 文件大小:3072
    • 提供者:cfnb&555
  1. 79696494

    0下载:
  2. 是一个关于信道容量和信道估计的很全面的matlab源程序()
  3. 所属分类:串口编程

    • 发布日期:2018-04-22
    • 文件大小:3072
    • 提供者:segfnf
  1. UYTLDZ550

    0下载:
  2. 所属分类:串口编程

    • 发布日期:2018-04-21
    • 文件大小:3072
    • 提供者:proueos
  1. 184860

    0下载:
  2. 所属分类:串口编程

    • 发布日期:2018-04-21
    • 文件大小:3072
    • 提供者:Clxire
  1. uart_codes

    0下载:
  2. Protocol Implementation
  3. 所属分类:串口编程

    • 发布日期:2018-04-22
    • 文件大小:3072
    • 提供者:asitworld
« 1 2 ... .22 .23 .24 .25 .26 6927.28 .29 .30 .31 .32 ... 7782 »
搜珍网 www.dssz.com