CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程

资源列表

« 1 2 ... .85 .86 .87 .88 .89 31690.91 .92 .93 .94 .95 ... 33645 »
  1. AD_Digital_test

    0下载:
  2. AD魔术转换端口,作为数字量输入I/O口使用,这是我自己编写的基于XEP100,112管脚的程序,已经调试完成。(AD magic conversion port, as a digital input I / O port, which is my own preparation based on XEP100, 112 pin procedures, has been completed debugging.)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-25
    • 文件大小:533504
    • 提供者:衣带渐宽
  1. 步进电机控制程序(四线双极性半步八拍)

    1下载:
  2. STM32控制步进电机的运动,采用的是双相八拍的方式(use STM32 to control step motor)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-22
    • 文件大小:4769792
    • 提供者:shine2flower
  1. vhdl2SystemC

    0下载:
  2. vhdl 转 systemc ,原来网上下载的很多版本不能用,该版本可用,内附说明书(Many versions of the original download were not available. This version is available)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:778240
    • 提供者:liuyijian
  1. 传送带计数

    0下载:
  2. 课程设计:传送带计数,步进电机控制,报警(Northeastern University, singlechip experiment, stepping motor)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-22
    • 文件大小:39936
    • 提供者:fake0324
  1. 俄罗斯方块游戏

    1下载:
  2. 基于STM32实现的俄罗斯方块小游戏,用彩屏实现,包含4个按键可供操作(Tetris game based on STM32 implementation)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-18
    • 文件大小:5678080
    • 提供者:lobster
  1. sequential detector

    0下载:
  2. verilog 固定序列检测器,能够检测10111序列,波形无误。适合Verilog初学者学习(Verilog fixed sequence detector)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-16
    • 文件大小:7168
    • 提供者:章荣
  1. Image2Lcd

    0下载:
  2. 将.bmp和.jpg格式的图像直接转换成.C文件(Convert images in.Bmp and.Jpg format directly into.C files)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-24
    • 文件大小:505856
    • 提供者:mt2034
  1. AN_SPMC75_0101

    0下载:
  2. NTC热敏电阻与STC单片机制作的温度计(NTC thermistor and STC single chip thermometer)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-21
    • 文件大小:259072
    • 提供者:不想
  1. C8051F93x_92x

    0下载:
  2. 单片机C8051F93x_92x环境设置与实例代码((C8051F93x_92x environment settings and example code))
  3. 所属分类:单片机开发

    • 发布日期:2017-12-22
    • 文件大小:184320
    • 提供者:liangh
  1. FreeCars鸳鸯测距KL26例程

    0下载:
  2. 大学生飞思卡尔智能车,恩智浦智能车KL26鸳鸯超神波(Carle college Freescale smart car, smart car KL26 super wave Yuanyang NXP)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-18
    • 文件大小:832512
    • 提供者:张老板
  1. c8051f中文数据手册

    1下载:
  2. C8051F系列单片机中文数据手册,包含大部分系列单片机(C8051 chinese datasheet)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-14
    • 文件大小:27082752
    • 提供者:liangh
  1. STM32F013ZET6测试程序

    0下载:
  2. 次程序为单片机的测试DEMO,可以下载下来一起学习!(The program is a single chip microcomputer test DEMO, which can be downloaded and studied together!)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-24
    • 文件大小:12800000
    • 提供者:zhexiasijile
« 1 2 ... .85 .86 .87 .88 .89 31690.91 .92 .93 .94 .95 ... 33645 »
搜珍网 www.dssz.com