CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程

资源列表

« 1 2 ... .64 .65 .66 .67 .68 31769.70 .71 .72 .73 .74 ... 33645 »
  1. AD9910

    0下载:
  2. AD9910 dds 可发正玄波 频率 400兆(DDS sends positive waves, reaching 400 megabytes. Minimum resolution is 0.23 Hz)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-19
    • 文件大小:2048
    • 提供者:mao毛
  1. svpwm_full_nios

    1下载:
  2. 实现verilog的svpwm 对于算法开发有很好的帮助。。希望大家多多学习了。(Implementation of verilog svpwm for the development of the algorithm has a very good help. The I hope you learn a lot.)
  3. 所属分类:VHDL/FPGA/Verilog

  1. tm dianci1

    0下载:
  2. 恩智浦智能车比赛,电磁组四轮电磁传感器寻迹小车(NXP smart car competition electromagnetic group, the four round of electromagnetic sensor tracing car)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-16
    • 文件大小:5757952
    • 提供者:无问西东
  1. STM32超声波测距

    1下载:
  2. 此程序利用stm32F103单片机完成精准的超声波测速,实现过程采用库函数的方式实现,串口触发方式测距(This program uses stm32F103 microcontroller to complete accurate ultrasonic speed measurement, the implementation process using library functions to achieve, serial trigger mode ranging)
  3. 所属分类:单片机开发

  1. LTY_测距XS128程序

    0下载:
  2. 作为电设准备模块实现测距功能,LTY_测距XS128(As an electrical setting module, the ranging function is realized,LTY_ ranging XS128)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-18
    • 文件大小:256000
    • 提供者:无问西东
  1. 2015电子设计竞赛完整版

    0下载:
  2. 2015年电子设计竞赛源代码及所包含所有运用到的模块(The source code of the 2015 electronic design competition and all modules included in it)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-20
    • 文件大小:4797440
    • 提供者:无问西东
  1. isd1700prgv2.0

    0下载:
  2. ISD1700录音模块是电子设计大赛必须要准备的一个常用模块(ISD1700 recording module is a common module that must be prepared for electronic design contest)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-17
    • 文件大小:62464
    • 提供者:无问西东
  1. CAN通信应用

    0下载:
  2. 使用STM32F103ZET6芯片驱动单路can通信,(use stm32 to realize CAN communication)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-22
    • 文件大小:334848
    • 提供者:erushing
  1. 程序

    0下载:
  2. 倾角传感器也是电子设计大赛要准备的基本模块,用于测量角度(Tilt sensor is also the basic module for the electronic design contest, which is used to measure the angle)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-18
    • 文件大小:4004864
    • 提供者:无问西东
  1. LCD1602

    0下载:
  2. LCD1602的驱动,头文件,可方便开发,只要添加进去引用即可(LCD1602 driver, the header file, you can easily develop, as long as the added reference)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-22
    • 文件大小:1024
    • 提供者:江南无极
  1. LQ_eTPU-PWM测试通过

    0下载:
  2. mpc5634m的ETPU定时器编程官方代码,经过测试(the code of mpc5634 of ETPU)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-18
    • 文件大小:137216
    • 提供者:小饼干
  1. 12864显示字符

    0下载:
  2. 89C52RC驱动12864显示屏,移植使用时,注意管脚对应修改一下(89C52RC drive 12864 display, transplant use, pay attention to the pin corresponding to modify)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-19
    • 文件大小:35840
    • 提供者:WaitingChan
« 1 2 ... .64 .65 .66 .67 .68 31769.70 .71 .72 .73 .74 ... 33645 »
搜珍网 www.dssz.com