CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程

资源列表

« 1 2 ... .52 .53 .54 .55 .56 32457.58 .59 .60 .61 .62 ... 33645 »
  1. 18 ATK-2MD4850_OK

    0下载:
  2. STM32429 Stepping motor develop and program
  3. 所属分类:单片机开发

    • 发布日期:2018-01-02
    • 文件大小:9542656
    • 提供者:alice5656
  1. E51_USB_SoundCard

    0下载:
  2. 模拟一个usb声卡 可以播放 可以听到来自电脑的声音,下载来可以试一下 用的室原子stm32f103开发板(hello this is usb sound card use stm32 mcu usb slave devices ssssssssssssssss)
  3. 所属分类:微处理器开发

    • 发布日期:2018-01-02
    • 文件大小:3864576
    • 提供者:xiongsupply
  1. Example_2833x_i2c_ds1338_fifo

    0下载:
  2. 基于DSP23885访问eeprom读写FIFO(DSP23885,access to eeprom)
  3. 所属分类:DSP编程

    • 发布日期:2018-01-02
    • 文件大小:241664
    • 提供者:流水潺潺
  1. Example_2833x_i2c_ds1338

    1下载:
  2. 基于DSP28335直接访问eeprom,没有fifo(There is no FIFO to access EEPROM directly based on DSP28335)
  3. 所属分类:DSP编程

    • 发布日期:2018-01-02
    • 文件大小:185344
    • 提供者:流水潺潺
  1. 雾化器相关资料V1.3

    6下载:
  2. 文档包括了雾化器香薰机的源码,原理图,电路图。(Document includes nebulizer source, schematic diagram, circuit diagram)
  3. 所属分类:硬件设计

    • 发布日期:2018-01-02
    • 文件大小:20131840
    • 提供者:radiohuang
  1. RFcard

    0下载:
  2. 射频卡的操作,C语言编程,IDE KEIL(The operation of the radio frequency card, C language programming,IDE KEIL)
  3. 所属分类:嵌入式/单片机编程

  1. RapidIO? Interconnect Specification_rev2.1

    0下载:
  2. RapidIO互联规范V2.0版本,可用于TI C6000系列DSP SRIO编程参考,Xilinx FPGA SRIO高速通信变成参考。(RapidIO interconnect specification V2.0 version, can be used for TI C6000 series DSP SRIO programming reference, Xilinx FPGA SRIO high-speed communications become reference.)
  3. 所属分类:DSP编程

    • 发布日期:2018-01-02
    • 文件大小:4432896
    • 提供者:haoxingheng
  1. 模拟i2c

    1下载:
  2. 是STM32中I2C的例程代码,其中使用到AT24C02芯片作为EEPROM来进行试验(Is the I2C STM32 routines code, which uses the AT24C02 chip as a EEPROM to test)
  3. 所属分类:嵌入式/单片机编程

  1. stm32 dfu demo 3.0.3和stm32 HIDDemo

    1下载:
  2. 集合了stm32测试常用的两个程序: stsw-stm32080-V3.0.3.zip 和 STM32 USBHIDDEMO stm32usb测试程序,Stm32 HID测试官方程序。 stm32 官方usb HID测试程序。HIDDemo_v1.0.2安装包,亲测可用。 stm32 Dfu下载程序stsw-stm32080-V3.0.0.zip,stm32 DFU官方程序:DfuSe_Demo_V3.0_Setup。 比新的3.0.5版本,此版本没有转换hex到dfu失败的b
  3. 所属分类:单片机开发

  1. TestMAX707

    0下载:
  2. using this program, you can do testing MAX707and MAX708(CPU power sensor IC)
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2018-01-02
    • 文件大小:29696
    • 提供者:tesler
  1. 按键音调

    0下载:
  2. 以51单片机为控制芯片,通过按不同键发出不同的音调。(By giving different keys to produce different tones.)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-01-02
    • 文件大小:22528
    • 提供者:huang_guo_jin
  1. 1 time4

    0下载:
  2. STM8L152 的time4程序,包含了初始化以及产生中断处理(STM8L152's time4 program includes initialization and interrupt handling)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-01-02
    • 文件大小:7088128
    • 提供者:冷雨飘红
« 1 2 ... .52 .53 .54 .55 .56 32457.58 .59 .60 .61 .62 ... 33645 »
搜珍网 www.dssz.com