CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程

资源列表

« 1 2 ... .81 .82 .83 .84 .85 33486.87 .88 .89 .90 .91 ... 33645 »
  1. 10.AD9854DDS信号发生器

    0下载:
  2. 采用stm32作为主控芯片,经验证,可正确产生频率可调的正弦波和方波(Using STM32 as the main control chip, it has been proved that sinusoidal and square waves with adjustable frequency can be generated correctly.)
  3. 所属分类:嵌入式/单片机/硬件编程

  1. BPSK

    1下载:
  2. 先用Matlab理论仿真,得出滤波器系数。再用Verilog语言在ISE环境下编写程序,通过Modelsim和ChipScope进行波形仿真和引号抓取,从而提高调试的效率。通过手机发送指令来控制上下变频器的参数。(Firstly, the filter coefficients are obtained by simulation with the theory of matlab. Then the program is written in Verilog language under IS
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-02-25
    • 文件大小:6740992
    • 提供者:财哥在此
  1. 三路三相SPWM波

    0下载:
  2. 基于Tm4C123G的三相SPWM,能够实现DC_AC的变换 可结合现在流行的微电网和泛在电力物联网技术(Three-phase SPWM based on Tm4C123G can realize DC_AC transformation. It can be combined with the popular microgrid and ubiquitous power Internet of things technology.)
  3. 所属分类:单片机开发

  1. msp432E401Y

    1下载:
  2. 基于TI公司的msp432E401Y的keil模板 可实现以太网的连接控制 为开发msp432E401Y提供帮助(Keil template based on msp432E401Y of TI company Connection control of Ethernet Help to develop msp432E401Y)
  3. 所属分类:微处理器开发

  1. 基于51的超声测距1602显示

    0下载:
  2. 超声测距,距离用led1602显示,c语言编程,入门级别,下载即用。
  3. 所属分类:单片机(51,AVR,MSP430等)

  1. 手势识别代码

    1下载:
  2. 基于STM32的2018年电赛题目实现 内含完整历程
  3. 所属分类:单片机(51,AVR,MSP430等)

  1. 3.HX711串口STM32例程

    0下载:
  2. 称重模块,oled显示重量,RTC时钟显示时间,矩阵按键修改时间(Weighing module, OLED display)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2020-04-01
    • 文件大小:1424384
    • 提供者:徐岩
  1. magicarm 2200-s 流水灯程序

    0下载:
  2. magicarm 2200-s 的裸机程序-流水灯,本程序利用利用周立功的内存映像文件mem_b直接映射到Ram上运行程序,如果需要下载到Nand Flash中,将编译目标选取成debuginchipflash即可!
  3. 所属分类:微处理器(ARM/PowerPC等)

  1. 15单片机 NFR24L01接收与发射源码

    1下载:
  2. NFR24L01是一个无线串口模块,很多人都在寻找这个模块的代码,做这个模块,我也花费了很多时间,希望可以帮到更多的人。
  3. 所属分类:单片机(51,AVR,MSP430等)

  1. Example22_DSP2833x_ADC_soc

    1下载:
  2. 当需要采集外部信号时, 外部信号多数是模拟信号, 如电压、 电流、 压力、温度、 湿度、 速度等, 而单片机又只能处理数字信号, 因此就需要一个将模拟信号转换为数字信号的介质--模数转换器(ADC) , F28335 芯片内部含有 ADC 外设,本程序实现了 F28335 的 ADC 模数转换功能。
  3. 所属分类:DSP编程

  1. AD9954-STM32

    2下载:
  2. 使用STM32驱动AD9954 DDS模块(Driving the AD9954 DDS Module with STM32)
  3. 所属分类:单片机开发

    • 发布日期:2019-08-11
    • 文件大小:5229568
    • 提供者:lvm9331
  1. 串口控制舵机

    0下载:
  2. 串口控制舵机,可以任意输入角度然后根据串口输入的角度旋转(Serial port control steering gear)
  3. 所属分类:单片机开发

    • 发布日期:2019-10-17
    • 文件大小:6497280
    • 提供者:阿奔贼菜
« 1 2 ... .81 .82 .83 .84 .85 33486.87 .88 .89 .90 .91 ... 33645 »
搜珍网 www.dssz.com