CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程

资源列表

« 1 2 ... .82 .83 .84 .85 .86 32087.88 .89 .90 .91 .92 ... 33645 »
  1. ucos

    0下载:
  2. ucosII操作系统的源码,该操作系统是一个比较适合用在嵌入式系统中的操作系统,内核可以随意裁剪。-ucosII operating system source code, the operating system is more suitable for use in embedded systems operating system, the kernel can free cutting.
  3. 所属分类:uCOS

    • 发布日期:2017-05-21
    • 文件大小:6619205
    • 提供者:gupingping
  1. cheap_flash_fs_32bits

    0下载:
  2. Cheap_Flash_FS 嵌入式NandFlash文件系统源码下载 Cheap_Flash_FS 代码已经由我们开发完成,并经过了严格的测试。 坏块管理功能包括基于坏块表的管理程序,可以提供单/多扇区的操作。 多扇区为nandflash专门设计,可以降低NANDFLASH物理擦除数,具有直接预分配功能(降低内存占用量)。 其上面可以运行(Cheap_Flash_FS(支持多扇区操作))文件系统。 文件系统可支持实时坏块替换,包括擦除块,块移动,写入页产生坏块。文件系统基于
  3. 所属分类:SCM

    • 发布日期:2017-05-22
    • 文件大小:6619457
    • 提供者:dafdf
  1. Xilinx_verilog

    0下载:
  2. 这是Xilinx公司公布的对于大学生的FPGA教程,由浅入深,非常适合初学者-This is Xilinx company released for FPGA tutorial college students, it is very suitable for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6619969
    • 提供者:吴超
  1. SHAND_ZUUH_3_2011.12

    0下载:
  2. Siemens S7 200 PLC project. About very simple project. It`s only analog signal read.
  3. 所属分类:Other Embeded program

    • 发布日期:2016-01-27
    • 文件大小:6620160
    • 提供者:ulzii
  1. HC-05

    0下载:
  2. 传输信息,用于智能手机与硬件通信,挺不错的资料手册-Transmission of information, for smart phones to communicate with the hardware, very good information booklet
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2016-05-13
    • 文件大小:6620160
    • 提供者:王远
  1. 智能时钟

    0下载:
  2. 通过单片机的晶振产生精确时间 并显示在LCD上(The precise time was produced by the crystal oscillator of the single chip microcomputer and displayed on the LCD)
  3. 所属分类:单片机开发

    • 发布日期:2018-04-29
    • 文件大小:6620160
    • 提供者:NY806526329
  1. depend-s3c2410-develop-uboot

    0下载:
  2. 压缩包里包括u-boot-1.1.6源码,和修改过并且编译成功的.bin文件,可以在s3c2410开发板上直接烧写-Compressed package including u-boot-1.1.6 source code and modify and compile successfully. Bin file, you can direct programming on the s3c2410 develop board
  3. 所属分类:Embeded Linux

    • 发布日期:2017-05-21
    • 文件大小:6620894
    • 提供者:张博
  1. stm32 - nRF24L01

    0下载:
  2. stm32F103c8t6关联nRF24L01无线射频模块进行无线中继,使用单片机引脚为SPI口,方便有效。(stm32F103c8t6 associated nRF24L01 radio frequency module for wireless relay, using the microcontroller pin for SPI port, convenient and effective.)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-17
    • 文件大小:6621184
    • 提供者:hotcoffee
  1. dspyuanli

    0下载:
  2. dsp原理及其在移动通信中的应用,pdg格式的电子书-dsp principle and its application in mobile communications, pdg format e-books
  3. 所属分类:DSP program

    • 发布日期:2017-05-21
    • 文件大小:6621625
    • 提供者:yanpan
  1. Projects

    0下载:
  2. RTL8019AS + S3C44B0X + uIP-RTL8019AS+ S3C44B0X+ uIP
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-21
    • 文件大小:6621767
    • 提供者:李小康
  1. GY_271

    1下载:
  2. 利用stm32cubemx生成的代码驱动GY_271传感器(Using stm32cubemx generated code to drive GY_271sensors)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-18
    • 文件大小:6622208
    • 提供者:MYP
  1. LT-DSP2812-test-code1

    0下载:
  2. 力天2812实验教程代码,对学习2812很有用,能够快熟掌握2812的程序编写-litian 2812 The experiment course code, To learn 2812 is very useful and can be ripe master 2812 programming
  3. 所属分类:DSP program

    • 发布日期:2017-05-21
    • 文件大小:6622822
    • 提供者:caoqi
« 1 2 ... .82 .83 .84 .85 .86 32087.88 .89 .90 .91 .92 ... 33645 »
搜珍网 www.dssz.com