CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程

资源列表

« 1 2 ... .20 .21 .22 .23 .24 31325.26 .27 .28 .29 .30 ... 33645 »
  1. djtx

    0下载:
  2. c8051f的多机通信程序 在c语言环境下,实现的多机通信
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:1270
    • 提供者:doushuai
  1. 153头文件

    0下载:
  2. elan 153头文件
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2009-05-26
    • 文件大小:1270
    • 提供者:ltpgtsz
  1. vgacolor

    0下载:
  2. vga编程。实现3种模式的vga控制,分别产生横彩条,竖彩条,棋格彩条的显示-vga programming. Realization of the three-mode vga control, generate horizontal color of the color of the shaft, and the chess grid color of the show
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1269
    • 提供者:张建
  1. zhen

    0下载:
  2. 加入了积分运算,高速震荡变小了,选择了积分系数是0.1,和采样周期一样。但是高速震荡还是存在,超调基本上没有了。 当把积分数值除以十的时候,你会发现输出的震荡明显减少了,和开环控制的时候差不多,由此可以猜测,震荡有可能是由测试信号的精度决定的,另一方面可能是 因为PWM所引起的。通过综合比较发现,闭环系统的启动速度很快,比开环的快很多,但是加装了积分部分后,输出似乎变小了。
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:1269
    • 提供者:陈捷辉
  1. dianji

    0下载:
  2. QuartusII环境下,用于upds实验板的三相六拍电机-QuartusII environment, for the three-phase experimental board upds shot six motor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1269
    • 提供者:陈晨
  1. SPI_SLAVE

    0下载:
  2. this a file used for the spi communication between spi1 and spi2 of dspic30f6014. this file is for slave .-this is a file used for the spi communication between spi1 and spi2 of dspic30f6014. this file is for slave .
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-10
    • 文件大小:1269
    • 提供者:valiantyasir
  1. s_r

    0下载:
  2. CPLD+MCU电压采集系统 测试程序+原理图\测试程序\串行通信\收发-CPLD+ MCU voltage acquisition system Schematic test procedure+ \ Test Programs \ serial communication \ transceivers Shuo
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-06
    • 文件大小:1269
    • 提供者:cumtstone
  1. ds1307

    0下载:
  2. Driver ds1307for ccs
  3. 所属分类:DSP program

    • 发布日期:2017-04-10
    • 文件大小:1269
    • 提供者:adfad
  1. 51LCD-adjustable-clock

    0下载:
  2. 51单片机液晶显示可调时钟,用于AT89S51液晶显示,可供参考-51 single-chip LCD adjustable clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1269
    • 提供者:william
  1. ClkDivide

    0下载:
  2. This my version of the unit which carries out the division of the input signal-This is my version of the unit which carries out the division of the input signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1269
    • 提供者:Dmitriy
  1. t2

    0下载:
  2. 利用51系列单片机设计一个24小时制电子时钟,电子时钟的时、分、秒数值分别通过P0、P1、P2端口输出(以压缩BCD码的形式)。P3.0为低电平时开始计时,为高电平时停止计时-Use of 51 series microcontroller design a 24 hour system electronic clock, electronic clock, points, second respectively through numerical P0, P1, P2 port output
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-01
    • 文件大小:1269
    • 提供者:张博
  1. CLK_Detector-

    0下载:
  2. 时钟(2m、34m、45m、58m、77m、155m)检测-CLOCK INCLUDING(2m、34m、45m、58m、77m、155m)DETECT
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1269
    • 提供者:dubiqin
« 1 2 ... .20 .21 .22 .23 .24 31325.26 .27 .28 .29 .30 ... 33645 »
搜珍网 www.dssz.com