CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程

资源列表

« 1 2 ... .83 .84 .85 .86 .87 31388.89 .90 .91 .92 .93 ... 33645 »
  1. I2C

    0下载:
  2. 单片机实现I2C总线通信.简单明了,一看就懂.-MCU I2C bus communication. Simple and clear, a glance to understand.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-11
    • 文件大小:1247
    • 提供者:jiabo
  1. music

    0下载:
  2. 利用单片机生成music的运用 简单可行 适合初学者-Microcontroller generates music using simple and feasible for the use of beginners
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-30
    • 文件大小:1247
    • 提供者:无枫
  1. SHAKER_SRAM

    0下载:
  2. stm32207驱动CY62157EV30LL-45ZXIT SRAM驱动-stm32207 drive CY62157EV30LL-45ZXIT SRAM drive
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-11-30
    • 文件大小:1247
    • 提供者:张三
  1. AD-DA

    0下载:
  2. 单片机学习AD部分将模拟电压读出来然后通过液晶显示出来。-AD v 。
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-08
    • 文件大小:1247
    • 提供者:wujin
  1. X2504345

    0下载:
  2. One for the external microcontroller to see the door x2502345 c-language program-A BCD conversion software for the microcontroller, 16-hex BCD conversion software
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-12
    • 文件大小:1247
    • 提供者:arourd
  1. draw

    0下载:
  2. LCD画图函数 包括直线 圆 三角形等等LCD画图函数 包括直线 圆 三角形-LCD drawing functions including drawing a straight line round the triangle, etc. LCD function, including a straight line round the triangle, and so
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-04
    • 文件大小:1247
    • 提供者:dentBar
  1. DigitalPotControl_stm32

    0下载:
  2. arduino due 使用spi通信自发自收的例程,直接将mosi和miso对接-arduino due use spi
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-12
    • 文件大小:1247
    • 提供者:zcj
  1. ASS58N

    1下载:
  2. 把格雷码转换成十六进制的C语言程序,用来读取编码器的值-Gray code put into hexadecimal C Programming Language, used to read the value encoder
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:1246
    • 提供者:际云
  1. 16adc

    0下载:
  2. mage16的ad实验程序已经在16实验板上验证成功了-mage16 the ad experimental procedure has been verified in the 16 experiments on-board success
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-08
    • 文件大小:1246
    • 提供者:王杰杰
  1. murty-vdl1

    0下载:
  2. these are basic vhdl codes to further improvement
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1246
    • 提供者:murthy
  1. uart_control

    0下载:
  2. uart控制 串口控制 top层接口 总控制-uart contrl Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1246
    • 提供者:王长友
  1. mac_accumulator

    0下载:
  2. VHDL Multiplier Adder Accumulator together with Test Bench.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1246
    • 提供者:AhMahdi
« 1 2 ... .83 .84 .85 .86 .87 31388.89 .90 .91 .92 .93 ... 33645 »
搜珍网 www.dssz.com