CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程

资源列表

« 1 2 ... .11 .12 .13 .14 .15 33216.17 .18 .19 .20 .21 ... 33645 »
  1. xiaochexunxian

    0下载:
  2. arduino控制小车巡线程序 供大家参考-arduino controlled car line inspection program for your reference
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-23
    • 文件大小:679
    • 提供者:宇航
  1. song

    0下载:
  2. 软件开发环境:ISE 7.1i 仿真环境:ModelSim SE 6.0 1. 梁祝乐曲演奏电路-Software development environment: ISE 7.1i simulation environment: ModelSim SE 6.0 1. Butterfly music concert circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:679
    • 提供者:许毅民
  1. code

    0下载:
  2. it is acode for shift register
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:679
    • 提供者:bris
  1. PCF8591

    0下载:
  2. PCF8591: nxp 8-bit A/D and D/A converter, cpu is tms320f28335
  3. 所属分类:DSP program

    • 发布日期:2017-04-11
    • 文件大小:679
    • 提供者:ygl
  1. view_quartus_simu_on_matlab

    0下载:
  2. 在进行Quartus仿真时,由于直接用自带的仿真工具无法查看正弦波,将仿真数据另存为tbl格式,用Matlab的程序调用该tbl文件,即可观察波形。当然,利用Modelsim更好。-During Quartus simulation, waveform directly with their own simulation tools can not view the sine wave, Save the simulation data for the tbl format, using the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:679
    • 提供者:
  1. 000

    0下载:
  2. 用51单片机控制的避障小车程序框架,非常有用,可移植性好-51 microprocessor controlled obstacle avoidance for car application framework, is very useful, portable
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-07
    • 文件大小:679
    • 提供者:肖雪
  1. Train_8

    0下载:
  2. 数据段中binb存放一个16位二进制无符号数,将其化成压缩BCD码,存于bcdu单元中-in data segment, binary unsigned data, convert the data in to BCD formate
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-08
    • 文件大小:679
    • 提供者:李卓函
  1. MIPS_32numbers_32bits

    1下载:
  2. MIPS架构下的32位32个寄存器组的verilog源码-MIPS architecture 32 32 register banks verilog source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:679
    • 提供者:daniel
  1. manchester_encoder

    0下载:
  2. 曼切斯特码解码器verilog程序,已通过ModelSIM仿真,可用-Chester Verilog decoder procedures, has been through the ModelSIM simulation, the available
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:679
    • 提供者:王明明
  1. counter_vhd

    0下载:
  2. An asynchronous (ripple) counter is a single d-type flip-flop, with its J (data) input fed its own inverted output. This circuit can store one bit, and hence can count zero to one before it overflows (starts over 0). This counter will increment once
  3. 所属分类:VHDL-FPGA-Verilog

  1. ASKMod

    0下载:
  2. ASK调制信号的verilog VHL设计,在ise中实现了ASK信号的调制解调。-ASK modulation signal verilog VHL design, in ise to achieve the ASK signal modulation and demodulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-13
    • 文件大小:679
    • 提供者:杨某人
  1. 关于NVIC初始化

    0下载:
  2. 该源码提供了NVIC在K60单片机中的详细初始化例程,可以用于编写相关嵌入式开发程序
  3. 所属分类:单片机(51,AVR,MSP430等)

« 1 2 ... .11 .12 .13 .14 .15 33216.17 .18 .19 .20 .21 ... 33645 »
搜珍网 www.dssz.com