CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程

资源列表

« 1 2 ... .60 .61 .62 .63 .64 33165.66 .67 .68 .69 .70 ... 33645 »
  1. tms320lf2407

    0下载:
  2. TI公司生产的TMS320LF2407的一个很多模块编程实例,很有用的。-TI production TMS320LF2407 a lot module programming examples, very useful.
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:97469
    • 提供者:wjx
  1. verilog1

    0下载:
  2. verilog具体讲解-Verilog
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:468857
    • 提供者:飞翔之梦
  1. Update_boot

    0下载:
  2. 针对TMS320C6000系列的DSP芯片的在线升级的源码,主要是通过HPI实现的-against TMS320C6000 DSP chip escalation of the online source is mainly achieved through HPI
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:175498
    • 提供者:陈友元
  1. software_wireless_design

    1下载:
  2. 软件无线电接收机设计源码和电路图。采用TI 的TMSC320C6713实现的-software radio receiver circuit design and source code. Using TI's TMSC320C6713 realized
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:148442
    • 提供者:陈友元
  1. realtime_audiu_process

    0下载:
  2. 实时语音信号处理系统设计源码,基于TMS320C6711的,还有AD535 Codec介绍及其在系统设计中的应用。-real-time voice signal processing system design source, based on the TMS320C6711, There AD535 Codec introduced in system design applications.
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:134457
    • 提供者:陈友元
  1. picture_process

    0下载:
  2. 很好的利用TI的TMSvc3206000系列的图像采集系统源码,包含硬件电路设计。-good use TI's TMSvc3206000 series of image acquisition system source code, including hardware circuit design.
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:15517
    • 提供者:陈友元
  1. mobile_phone_design

    0下载:
  2. 利用Ti公司的TMSvc320c6711开发板的基础上搭建的移动视频电话系统。程序中主要实现3个功能:实时捕捉视频和音频数据;能够对视频和音频数据进行解码和存储;能够通过GPRS通信口传输音/视频压缩数据。 希望对大家有帮助阿-TMSvc320c6711 the development board based on the structures of mobile video telephone system. Proceedings three main functions : to ca
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:148942
    • 提供者:陈友元
  1. CK20-VHDL

    0下载:
  2. 经典CK20时钟程序,实现了时钟的时,分,秒记数,并可以重调,置0-classic procedures CK20 clock and realized the clock, minute and second count, and can be re-emphasize that the Home 0
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3884
    • 提供者:林海
  1. divded-VHDL

    0下载:
  2. 一个简单的VHDL分频模块,可以嵌套自己的子程序实现任意分频-a simple VHDL-frequency module, which can be nested subroutine achieve their arbitrary frequency -
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2916
    • 提供者:林海
  1. pulse-VHDL

    1下载:
  2. 可控脉冲产生VHDL程序 开发软件ISE,程序通过了器件后仿真并在芯片XC9572中实现了-controllable pulse generated VHDL ISE software development procedures, procedures adopted after the simulation devices and chips to achieve the XC9572
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:43916
    • 提供者:林海
  1. rj12864_LCD

    0下载:
  2. RJ12864液晶显示 用模拟时序的方式实现了汉字在液晶上的滚动显示-RJ12864 LCD simulated timing of the realization of the Chinese character LCD display on the rolling
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:18823
    • 提供者:飞翔
  1. smart_balance

    1下载:
  2. 一个基于单片机的智能电子秤开发项目,共5个部分,十分详细。-an MCU-based intelligent electronic scale development projects, a total of five, very detailed.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:469102
    • 提供者:王克敏
« 1 2 ... .60 .61 .62 .63 .64 33165.66 .67 .68 .69 .70 ... 33645 »
搜珍网 www.dssz.com