资源列表
Template
- 通过定时器触发adc采样,并且在串口显示出来采样电压(The ADC sampling is triggered by the timer, and the sampling voltage is displayed in the serial port)
uiebb
- v matlab implements five gray correlation degree computing model, Fractal dimension calculation algorithm matlab code blankets, SNR largest independent component analysis algorithm.
teyvg
- Based on multi-document image obtained combining technique, There are detailed notes, Jacobi iteration for solving linear equations class-based.
flashmem
- Data Flash Test Program
ARM-CNC-master
- ARM CNC master Ass code for cnc controller
FWL_Template
- stm32f103工程模板,带串口调试,例程中的单片机型号为stm32f103c8t6(stm32f103 project template with uart debug)
lu
- 16位MIPS指令集,VHDL实现,非常简单,非常粗暴(library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all;)
drv_adc_stm32f10x
- stm32f10x adc驱动代码,自己写的,在项目中已经使用过,可以运行。(Driver for stm32f10x chip's adc module, I have used in my project.)
RTU Motherboard
- RTU Motherboard with ARM Cortex
Integrated_Library
- pcb library for miscellaneous device
STM32F103RC
- High-density performance line ARM-based 32-bit MCU with 256 to 512KB Flash, USB, CAN, 11 timers, 3 ADCs, 13 communication interfaces
51黑论坛_WIFI—ESP8266
- stm32,使用esp8266上传DHT11温湿度,或透传(STM32, using esp8266 to upload DHT11 temperature and humidity)