CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 其它 汇编语言

资源列表

« 1 2 ... 20 21 22 23 24 2526 27 28 29 30 ... 2553 »
  1. 8255A

    0下载:
  2. 8255的一个端口接开关,另一个接灯,循环实现将开关状态读入,并输出至灯显示。-8255 port
  3. 所属分类:assembly language

    • 发布日期:2017-11-12
    • 文件大小:552byte
    • 提供者:杜振林
  1. 3p5

    1下载:
  2. 在屏幕上显示系统日期和时间,用英文表示星期几。-System date and time displayed on the screen, day of the week in English.
  3. 所属分类:assembly language

    • 发布日期:2017-11-02
    • 文件大小:552byte
    • 提供者:庄晨
  1. laisi-laisifenbu

    0下载:
  2. 莱斯分不是一种随机过程的随机分布函数的仿真,仿真结果属于随机分布-Rice is not a simulation sub-random process of random distribution functions, the simulation results are random distribution
  3. 所属分类:assembly language

    • 发布日期:2017-04-12
    • 文件大小:552byte
    • 提供者:史文进
  1. ydm

    0下载:
  2. 数据库源代码 建立学生的信息 进行统计 用c++编-The source code to establish a database for statistical information on students to use c++ compile
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:553byte
    • 提供者:lucaslee
  1. ch1610

    0下载:
  2. 实现10进制到16进制的数制转换,为大家方便-Achieve 10 to 16 hexadecimal decimal number conversion, convenient for everyone
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:553byte
    • 提供者:李洋
  1. xb

    0下载:
  2. fluent中作液体蒸发相变的udf,非常专业,证明可行-fluent in the evaporation of liquid phase transition for udf, very professional, proved to be feasible
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:553byte
    • 提供者:冥王
  1. db1_3fenjiechonggou

    0下载:
  2. 通过利用小波分解重构进行ECG信号去噪处理-Denoising for ECG signal by using wavelet decomposition and reconstruction
  3. 所属分类:assembly language

    • 发布日期:2017-04-12
    • 文件大小:553byte
    • 提供者:牛慧颖
  1. step

    0下载:
  2. This a sample of OUT instruction. It writes values to virtual I/O port that controls the stepper-motor. Try using datCCW, datCW_FS or datCCW_FS instead of datCW to get different behavior of the motor. -This is a sample of OUT instruction. It writes v
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:554byte
    • 提供者:fernandor
  1. suanjitonxin

    0下载:
  2. 双机通信 用两个单片机进行数据传输,一个为发送方,另一个为接方。接收方接收完数据后发送一个确认信号-Two-machine communication for data transmission with two single chip, one for the sender and the other for the receiving party. Once the data receiver to receive a confirmation signal sent
  3. 所属分类:assembly language

    • 发布日期:2017-04-11
    • 文件大小:554byte
    • 提供者:sss
  1. 1

    0下载:
  2. 加减计数器 library ieee use ieee. std_logic-_1164.all entity dec3_8 is port(a,b,c,s1,s2,s3: in std_logic y: out std_logic_vector(0 to 7)) end architecture b of dec3_8 is signal abc: std_logic_vector(0 t
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:554byte
    • 提供者:镜辰
  1. AQ

    0下载:
  2. 7 segment interupts , you can modify as your needs
  3. 所属分类:assembly language

    • 发布日期:2017-03-31
    • 文件大小:554byte
    • 提供者:julian
  1. thomas

    2下载:
  2. 利用托马斯方法解对称性三角矩阵的matlab代码-Using the Thomas method for solving symmetric triangular matrix matlab code
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:554byte
    • 提供者:refreshzy
« 1 2 ... 20 21 22 23 24 2526 27 28 29 30 ... 2553 »
搜珍网 www.dssz.com