CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 其它 汇编语言

资源列表

« 1 2 ... .23 .24 .25 .26 .27 2528.29 .30 .31 .32 .33 ... 2553 »
  1. dzq

    0下载:
  2. 模拟电子琴 汇编语言编写 有助于汇编的学习及实验
  3. 所属分类:汇编语言

    • 发布日期:2014-01-17
    • 文件大小:556byte
    • 提供者:haitty
  1. ms-

    0下载:
  2. 利用1AH,21H 中断调用,在屏幕上显示1-20的随机数,并要 求能连续输出,直到按下Q键为止  -Use 1 AH, 21 H interrupt calls, displayed on the screen of the random number 1-20, and will For continuous output, until the press Q key so far
  3. 所属分类:assembly language

    • 发布日期:2017-04-05
    • 文件大小:556byte
    • 提供者:茵茵
  1. two

    0下载:
  2. 输入两个两位十进制数,就可以得到他们的和,实现两个十进制书相加-Enter two decimal number two, he will receive them and to realize the sum of two decimal book
  3. 所属分类:assembly language

    • 发布日期:2017-04-12
    • 文件大小:555byte
    • 提供者:mayongqiang
  1. qclj

    0下载:
  2. vb版系统垃圾清理程序,带进程条,输出文本框-qclj
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:555byte
    • 提供者:徐徐
  1. 2

    0下载:
  2. BCD码的字符串除法 BCD数字经过减6修正后的除法功能-BCD code of the string division
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:555byte
    • 提供者:刘杰
  1. 3tip

    0下载:
  2. 一个简单的三星阵图,输入行数,可以列出金字塔阵形的三角星图,适合新手学习-A simple Samsung array map, enter the number of rows, you can list a triangular pyramid star map formation
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:555byte
    • 提供者:王子明
  1. math1

    0下载:
  2. Adds two vector sse -Adds two vector sse
  3. 所属分类:assembly language

    • 发布日期:2017-11-12
    • 文件大小:555byte
    • 提供者:Mirko
  1. 1602

    0下载:
  2. at89c51与lcd1602连接的显示程序-the at89c51 lcd1602 connected display program
  3. 所属分类:assembly language

    • 发布日期:2017-11-10
    • 文件大小:555byte
    • 提供者:王绍云
  1. mdip

    0下载:
  2. 是一种小波去噪的程序代码,并且适用于图像处理及信号处理,在机械故障诊断中有着良好的作用-Is a kind of wavelet denoising procedure code, and is suitable for image processing and signal processing, has a good role in the mechanical fault diagnosis
  3. 所属分类:assembly language

    • 发布日期:2017-04-11
    • 文件大小:555byte
    • 提供者:赵礼
  1. 1

    0下载:
  2. 加减计数器 library ieee use ieee. std_logic-_1164.all entity dec3_8 is port(a,b,c,s1,s2,s3: in std_logic y: out std_logic_vector(0 to 7)) end architecture b of dec3_8 is signal abc: std_logic_vector(0 t
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:554byte
    • 提供者:镜辰
  1. AQ

    0下载:
  2. 7 segment interupts , you can modify as your needs
  3. 所属分类:assembly language

    • 发布日期:2017-03-31
    • 文件大小:554byte
    • 提供者:julian
  1. thomas

    2下载:
  2. 利用托马斯方法解对称性三角矩阵的matlab代码-Using the Thomas method for solving symmetric triangular matrix matlab code
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:554byte
    • 提供者:refreshzy
« 1 2 ... .23 .24 .25 .26 .27 2528.29 .30 .31 .32 .33 ... 2553 »
搜珍网 www.dssz.com