CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:VHDL1

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    389.94kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

学习用FPGA设计一个信号发生器,根据输入信号的选择输出递增、递减锯齿波、三角波、阶梯波和方波。-Learning to use FPGA to design a signal generator, the choice of output to the input signal increases, decreasing sawtooth, triangle, ladder wave and square wave.
(系统自动生成,下载前可以参看下载内容)

下载文件列表

VHDL1/ADDER8B.vhd
VHDL1/ANDARITH.vhd
VHDL1/ARICTL.vhd
VHDL1/Block1.bdf
VHDL1/db/MULTI8X8.(0).cnf.cdb
VHDL1/db/MULTI8X8.(0).cnf.hdb
VHDL1/db/MULTI8X8.(1).cnf.cdb
VHDL1/db/MULTI8X8.(1).cnf.hdb
VHDL1/db/MULTI8X8.(2).cnf.cdb
VHDL1/db/MULTI8X8.(2).cnf.hdb
VHDL1/db/MULTI8X8.(3).cnf.cdb
VHDL1/db/MULTI8X8.(3).cnf.hdb
VHDL1/db/MULTI8X8.(4).cnf.cdb
VHDL1/db/MULTI8X8.(4).cnf.hdb
VHDL1/db/MULTI8X8.(5).cnf.cdb
VHDL1/db/MULTI8X8.(5).cnf.hdb
VHDL1/db/MULTI8X8.asm.qmsg
VHDL1/db/MULTI8X8.asm_labs.ddb
VHDL1/db/MULTI8X8.cbx.xml
VHDL1/db/MULTI8X8.cmp.bpm
VHDL1/db/MULTI8X8.cmp.cdb
VHDL1/db/MULTI8X8.cmp.ecobp
VHDL1/db/MULTI8X8.cmp.hdb
VHDL1/db/MULTI8X8.cmp.kpt
VHDL1/db/MULTI8X8.cmp.logdb
VHDL1/db/MULTI8X8.cmp.rdb
VHDL1/db/MULTI8X8.cmp.tdb
VHDL1/db/MULTI8X8.cmp0.ddb
VHDL1/db/MULTI8X8.cmp2.ddb
VHDL1/db/MULTI8X8.cmp_merge.kpt
VHDL1/db/MULTI8X8.db_info
VHDL1/db/MULTI8X8.eco.cdb
VHDL1/db/MULTI8X8.eds_overflow
VHDL1/db/MULTI8X8.fit.qmsg
VHDL1/db/MULTI8X8.hier_info
VHDL1/db/MULTI8X8.hif
VHDL1/db/MULTI8X8.map.bpm
VHDL1/db/MULTI8X8.map.cdb
VHDL1/db/MULTI8X8.map.ecobp
VHDL1/db/MULTI8X8.map.hdb
VHDL1/db/MULTI8X8.map.kpt
VHDL1/db/MULTI8X8.map.logdb
VHDL1/db/MULTI8X8.map.qmsg
VHDL1/db/MULTI8X8.map_bb.cdb
VHDL1/db/MULTI8X8.map_bb.hdb
VHDL1/db/MULTI8X8.map_bb.hdbx
VHDL1/db/MULTI8X8.map_bb.logdb
VHDL1/db/MULTI8X8.pre_map.cdb
VHDL1/db/MULTI8X8.pre_map.hdb
VHDL1/db/MULTI8X8.psp
VHDL1/db/MULTI8X8.rpp.qmsg
VHDL1/db/MULTI8X8.rtlv.hdb
VHDL1/db/MULTI8X8.rtlv_sg.cdb
VHDL1/db/MULTI8X8.rtlv_sg_swap.cdb
VHDL1/db/MULTI8X8.sgate.rvd
VHDL1/db/MULTI8X8.sgate_sm.rvd
VHDL1/db/MULTI8X8.sgdiff.cdb
VHDL1/db/MULTI8X8.sgdiff.hdb
VHDL1/db/MULTI8X8.sim.cvwf
VHDL1/db/MULTI8X8.sim.hdb
VHDL1/db/MULTI8X8.sim.qmsg
VHDL1/db/MULTI8X8.sim.rdb
VHDL1/db/MULTI8X8.sld_design_entry.sci
VHDL1/db/MULTI8X8.sld_design_entry_dsc.sci
VHDL1/db/MULTI8X8.syn_hier_info
VHDL1/db/MULTI8X8.tan.qmsg
VHDL1/db/MULTI8X8.tis_db_list.ddb
VHDL1/db/MULTI8X8.tmw_info
VHDL1/db/prev_cmp_MULTI8X8.asm.qmsg
VHDL1/db/prev_cmp_MULTI8X8.fit.qmsg
VHDL1/db/prev_cmp_MULTI8X8.map.qmsg
VHDL1/db/prev_cmp_MULTI8X8.qmsg
VHDL1/db/prev_cmp_MULTI8X8.sim.qmsg
VHDL1/db/prev_cmp_MULTI8X8.tan.qmsg
VHDL1/db/wed.wsf
VHDL1/incremental_db/compiled_partitions/MULTI8X8.root_partition.cmp.atm
VHDL1/incremental_db/compiled_partitions/MULTI8X8.root_partition.cmp.dfp
VHDL1/incremental_db/compiled_partitions/MULTI8X8.root_partition.cmp.hdbx
VHDL1/incremental_db/compiled_partitions/MULTI8X8.root_partition.cmp.kpt
VHDL1/incremental_db/compiled_partitions/MULTI8X8.root_partition.cmp.logdb
VHDL1/incremental_db/compiled_partitions/MULTI8X8.root_partition.cmp.rcf
VHDL1/incremental_db/compiled_partitions/MULTI8X8.root_partition.map.atm
VHDL1/incremental_db/compiled_partitions/MULTI8X8.root_partition.map.dpi
VHDL1/incremental_db/compiled_partitions/MULTI8X8.root_partition.map.hdbx
VHDL1/incremental_db/compiled_partitions/MULTI8X8.root_partition.map.kpt
VHDL1/incremental_db/README
VHDL1/MULTI8X8.asm.rpt
VHDL1/MULTI8X8.bsf
VHDL1/MULTI8X8.done
VHDL1/MULTI8X8.fit.rpt
VHDL1/MULTI8X8.fit.summary
VHDL1/MULTI8X8.flow.rpt
VHDL1/MULTI8X8.map.rpt
VHDL1/MULTI8X8.map.summary
VHDL1/MULTI8X8.pin
VHDL1/MULTI8X8.pof
VHDL1/MULTI8X8.qpf
VHDL1/MULTI8X8.qsf
VHDL1/MULTI8X8.qws
VHDL1/MULTI8X8.sim.rpt
VHDL1/MULTI8X8.sof
VHDL1/MULTI8X8.tan.rpt
VHDL1/MULTI8X8.tan.summary
VHDL1/MULTI8X8.vhd
VHDL1/MULTI8X8.vwf
VHDL1/REG16B.vhd
VHDL1/SREG8B.vhd
VHDL1/Waveform1.vwf
VHDL1/Waveform2.vwf
VHDL1/Waveform3.vwf
VHDL1/Waveform4.vwf
VHDL1/Waveformxin.vwf
VHDL1/incremental_db/compiled_partitions
VHDL1/db
VHDL1/incremental_db
VHDL1

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com