CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:verilogpractice1-9code

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    3.62mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

verilog练习1-9代码,Sopc中硬件描写语言及程序,以及modelslim软件调试-Exercise 1-9 verilog code, Sopc in hardware descr iption language and procedures, and modelslim software debugging
(系统自动生成,下载前可以参看下载内容)

下载文件列表

verilog练习1-9代码/Verilog练习1-9.txt
verilog练习1-9代码/x1/compare.v
verilog练习1-9代码/x1/compare_tb.v
verilog练习1-9代码/x1/transcript
verilog练习1-9代码/x1_1/compare-tb.v
verilog练习1-9代码/x1_1/compare.v
verilog练习1-9代码/x2/half-tb.v
verilog练习1-9代码/x2/half.v
verilog练习1-9代码/x2/vsim.wlf
verilog练习1-9代码/x2/work/half_clk/verilog.asm
verilog练习1-9代码/x2/work/half_clk/_primary.dat
verilog练习1-9代码/x2/work/half_clk/_primary.vhd
verilog练习1-9代码/x2/work/top/verilog.asm
verilog练习1-9代码/x2/work/top/_primary.dat
verilog练习1-9代码/x2/work/top/_primary.vhd
verilog练习1-9代码/x2/work/_info
verilog练习1-9代码/x2/x2.cr.mti
verilog练习1-9代码/x2/x2.mpf
verilog练习1-9代码/x3/fpin-tb.v
verilog练习1-9代码/x3/fpin-tb.v.bak
verilog练习1-9代码/x3/fpin.v
verilog练习1-9代码/x3/transcript
verilog练习1-9代码/x3/vsim.wlf
verilog练习1-9代码/x3/work/division_@top/verilog.asm
verilog练习1-9代码/x3/work/division_@top/_primary.dat
verilog练习1-9代码/x3/work/division_@top/_primary.vhd
verilog练习1-9代码/x3/work/fdivision/verilog.asm
verilog练习1-9代码/x3/work/fdivision/_primary.dat
verilog练习1-9代码/x3/work/fdivision/_primary.vhd
verilog练习1-9代码/x3/work/_info
verilog练习1-9代码/x3/work/_opt/work_division_@top_fast.asm
verilog练习1-9代码/x3/work/_opt/work_division_@top_fast.dt2
verilog练习1-9代码/x3/work/_opt/work_fdivision_fast.dt2
verilog练习1-9代码/x3/work/_opt/work__info
verilog练习1-9代码/x3/work/_opt/_deps
verilog练习1-9代码/x3/x3.cr.mti
verilog练习1-9代码/x3/x3.mpf
verilog练习1-9代码/x4/blocking.v
verilog练习1-9代码/x4/compareTop.v
verilog练习1-9代码/x4/non_blocking.v
verilog练习1-9代码/x4/vsim.wlf
verilog练习1-9代码/x4/wave.bmp
verilog练习1-9代码/x4/work/blocking/verilog.asm
verilog练习1-9代码/x4/work/blocking/_primary.dat
verilog练习1-9代码/x4/work/blocking/_primary.vhd
verilog练习1-9代码/x4/work/compare@top/verilog.asm
verilog练习1-9代码/x4/work/compare@top/_primary.dat
verilog练习1-9代码/x4/work/compare@top/_primary.vhd
verilog练习1-9代码/x4/work/non_blocking/verilog.asm
verilog练习1-9代码/x4/work/non_blocking/_primary.dat
verilog练习1-9代码/x4/work/non_blocking/_primary.vhd
verilog练习1-9代码/x4/work/_info
verilog练习1-9代码/x4/x2.cr.mti
verilog练习1-9代码/x4/x2.mpf
verilog练习1-9代码/x5/alu.v
verilog练习1-9代码/x5/alutest.v
verilog练习1-9代码/x5/vsim.wlf
verilog练习1-9代码/x5/work/alu/verilog.asm
verilog练习1-9代码/x5/work/alu/_primary.dat
verilog练习1-9代码/x5/work/alu/_primary.vhd
verilog练习1-9代码/x5/work/alutest/verilog.asm
verilog练习1-9代码/x5/work/alutest/_primary.dat
verilog练习1-9代码/x5/work/alutest/_primary.vhd
verilog练习1-9代码/x5/work/_info
verilog练习1-9代码/x5/x5.cr.mti
verilog练习1-9代码/x5/x5.mpf
verilog练习1-9代码/x6/tryfuctTop.v
verilog练习1-9代码/x6/tryfunct.v
verilog练习1-9代码/x6/tryfunct.v.bak
verilog练习1-9代码/x6/work/tryfunct/_primary.dat
verilog练习1-9代码/x6/work/tryfunct/_primary.vhd
verilog练习1-9代码/x6/work/_info
verilog练习1-9代码/x6/x6.cr.mti
verilog练习1-9代码/x6/x6.mpf
verilog练习1-9代码/x7/sort4.v
verilog练习1-9代码/x7/task_Top.v
verilog练习1-9代码/x7/task_Top.v.bak
verilog练习1-9代码/x7/work/sort4/_primary.dat
verilog练习1-9代码/x7/work/sort4/_primary.vhd
verilog练习1-9代码/x7/work/_info
verilog练习1-9代码/x7/x7.cr.mti
verilog练习1-9代码/x7/x7.mpf
verilog练习1-9代码/x8/seqdet-top.v
verilog练习1-9代码/x8/seqdet.v
verilog练习1-9代码/x8/vsim.wlf
verilog练习1-9代码/x8/wave.bmp
verilog练习1-9代码/x8/work/seqdet/verilog.asm
verilog练习1-9代码/x8/work/seqdet/_primary.dat
verilog练习1-9代码/x8/work/seqdet/_primary.vhd
verilog练习1-9代码/x8/work/seqdet_@top/verilog.asm
verilog练习1-9代码/x8/work/seqdet_@top/_primary.dat
verilog练习1-9代码/x8/work/seqdet_@top/_primary.vhd
verilog练习1-9代码/x8/work/_info
verilog练习1-9代码/x8/x8.cr.mti
verilog练习1-9代码/x8/x8.mpf
verilog练习1-9代码/x9/work/writing/_primary.dat
verilog练习1-9代码/x9/work/writing/_primary.vhd
verilog练习1-9代码/x9/work/writing@top/_primary.dat
verilog练习1-9代码/x9/work/writing@top/_primary.vhd
verilog练习1-9代码/x9/work/_info
verilog练习1-9代码/x9/writing.v
verilog练习1-9代码/x9/writing.v.bak
verilog练习1-9代码/x9/writingTop.v
verilog练习1-9代码/x9/x9.cr.mti
verilog练习1-9代码/x9/x9.mpf
verilog练习1-9代码/指导书及说明书/ModelSim 实训指导书.doc
verilog练习1-9代码/指导书及说明书/Quartus使用教程.pdf
verilog练习1-9代码/指导书及说明书/SOPC实验指导书 新版_3.pdf
verilog练习1-9代码/指导书及说明书/《集成电路EDA》实训指导书(学生用书).doc
verilog练习1-9代码/x2/work/half_clk
verilog练习1-9代码/x2/work/top
verilog练习1-9代码/x2/work/_temp
verilog练习1-9代码/x3/work/division_@top
verilog练习1-9代码/x3/work/fdivision
verilog练习1-9代码/x3/work/_opt
verilog练习1-9代码/x3/work/_temp
verilog练习1-9代码/x4/work/blocking
verilog练习1-9代码/x4/work/compare@top
verilog练习1-9代码/x4/work/non_blocking
verilog练习1-9代码/x4/work/_temp
verilog练习1-9代码/x5/work/alu
verilog练习1-9代码/x5/work/alutest
verilog练习1-9代码/x5/work/_temp
verilog练习1-9代码/x6/work/tryfunct
verilog练习1-9代码/x6/work/_temp
verilog练习1-9代码/x7/work/sort4
verilog练习1-9代码/x7/work/_temp
verilog练习1-9代码/x8/work/seqdet
verilog练习1-9代码/x8/work/seqdet_@top
verilog练习1-9代码/x8/work/_temp
verilog练习1-9代码/x9/work/writing
verilog练习1-9代码/x9/work/writing@top
verilog练习1-9代码/x9/work/_temp
verilog练习1-9代码/x2/work
verilog练习1-9代码/x3/work
verilog练习1-9代码/x4/work
verilog练习1-9代码/x5/work
verilog练习1-9代码/x6/work
verilog练习1-9代码/x7/work
verilog练习1-9代码/x8/work
verilog练习1-9代码/x9/work
verilog练习1-9代码/x1
verilog练习1-9代码/x1_1
verilog练习1-9代码/x2
verilog练习1-9代码/x3
verilog练习1-9代码/x4
verilog练习1-9代码/x5
verilog练习1-9代码/x6
verilog练习1-9代码/x7
verilog练习1-9代码/x8
verilog练习1-9代码/x9
verilog练习1-9代码/指导书及说明书
verilog练习1-9代码

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com