CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:CLOCK

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    935.79kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

Clcok Source Code in VHDL fo FPGA Devices, Display Time in Seven Segment
(系统自动生成,下载前可以参看下载内容)

下载文件列表

CLOCK/clock1.asm.rpt
CLOCK/clock1.bdf
CLOCK/clock1.cdf
CLOCK/clock1.done
CLOCK/clock1.dpf
CLOCK/clock1.fit.rpt
CLOCK/clock1.fit.summary
CLOCK/clock1.flow.rpt
CLOCK/clock1.jbc
CLOCK/clock1.map.rpt
CLOCK/clock1.map.summary
CLOCK/clock1.pin
CLOCK/clock1.pof
CLOCK/clock1.qip
CLOCK/clock1.qpf
CLOCK/clock1.qsf
CLOCK/clock1.qws
CLOCK/clock1.sim.rpt
CLOCK/clock1.tan.rpt
CLOCK/clock1.tan.summary
CLOCK/clock1.vwf
CLOCK/clock1_wave0.jpg
CLOCK/clock1_waveforms.html
CLOCK/counter1.bsf
CLOCK/counter1.cmp
CLOCK/counter1.qip
CLOCK/counter1.vhd
CLOCK/counter1_wave0.jpg
CLOCK/counter1_waveforms.html
CLOCK/COUNTER2.bsf
CLOCK/COUNTER2.cmp
CLOCK/COUNTER2.qip
CLOCK/COUNTER2.vhd
CLOCK/COUNTER2_wave0.jpg
CLOCK/COUNTER2_wave1.jpg
CLOCK/COUNTER2_waveforms.html
CLOCK/COUNTER3.bsf
CLOCK/COUNTER3.cmp
CLOCK/COUNTER3.qip
CLOCK/COUNTER3.vhd
CLOCK/COUNTER3_wave0.jpg
CLOCK/COUNTER3_wave1.jpg
CLOCK/COUNTER3_waveforms.html
CLOCK/COUNTER4.bsf
CLOCK/COUNTER4.cmp
CLOCK/COUNTER4.qip
CLOCK/COUNTER4.vhd
CLOCK/COUNTER4_wave0.jpg
CLOCK/COUNTER4_wave1.jpg
CLOCK/COUNTER4_waveforms.html
CLOCK/COUNTER_1BIT.bsf
CLOCK/COUNTER_1BIT.cmp
CLOCK/COUNTER_1BIT.qip
CLOCK/COUNTER_1BIT.vhd
CLOCK/COUNTER_1BIT_wave0.jpg
CLOCK/COUNTER_1BIT_waveforms.html
CLOCK/COUNTER_4BIT.bsf
CLOCK/COUNTER_4BIT.cmp
CLOCK/COUNTER_4BIT.qip
CLOCK/COUNTER_4BIT.vhd
CLOCK/COUNTER_4BIT_wave0.jpg
CLOCK/COUNTER_4BIT_wave1.jpg
CLOCK/COUNTER_4BIT_waveforms.html
CLOCK/db/add_sub_4ge.tdf
CLOCK/db/add_sub_hhe.tdf
CLOCK/db/add_sub_lhe.tdf
CLOCK/db/clock1.(0).cnf.cdb
CLOCK/db/clock1.(0).cnf.hdb
CLOCK/db/clock1.(1).cnf.cdb
CLOCK/db/clock1.(1).cnf.hdb
CLOCK/db/clock1.(10).cnf.cdb
CLOCK/db/clock1.(10).cnf.hdb
CLOCK/db/clock1.(11).cnf.cdb
CLOCK/db/clock1.(11).cnf.hdb
CLOCK/db/clock1.(12).cnf.cdb
CLOCK/db/clock1.(12).cnf.hdb
CLOCK/db/clock1.(13).cnf.cdb
CLOCK/db/clock1.(13).cnf.hdb
CLOCK/db/clock1.(14).cnf.cdb
CLOCK/db/clock1.(14).cnf.hdb
CLOCK/db/clock1.(15).cnf.cdb
CLOCK/db/clock1.(15).cnf.hdb
CLOCK/db/clock1.(16).cnf.cdb
CLOCK/db/clock1.(16).cnf.hdb
CLOCK/db/clock1.(17).cnf.cdb
CLOCK/db/clock1.(17).cnf.hdb
CLOCK/db/clock1.(18).cnf.cdb
CLOCK/db/clock1.(18).cnf.hdb
CLOCK/db/clock1.(19).cnf.cdb
CLOCK/db/clock1.(19).cnf.hdb
CLOCK/db/clock1.(2).cnf.cdb
CLOCK/db/clock1.(2).cnf.hdb
CLOCK/db/clock1.(20).cnf.cdb
CLOCK/db/clock1.(20).cnf.hdb
CLOCK/db/clock1.(21).cnf.cdb
CLOCK/db/clock1.(21).cnf.hdb
CLOCK/db/clock1.(22).cnf.cdb
CLOCK/db/clock1.(22).cnf.hdb
CLOCK/db/clock1.(23).cnf.cdb
CLOCK/db/clock1.(23).cnf.hdb
CLOCK/db/clock1.(24).cnf.cdb
CLOCK/db/clock1.(24).cnf.hdb
CLOCK/db/clock1.(25).cnf.cdb
CLOCK/db/clock1.(25).cnf.hdb
CLOCK/db/clock1.(26).cnf.cdb
CLOCK/db/clock1.(26).cnf.hdb
CLOCK/db/clock1.(27).cnf.cdb
CLOCK/db/clock1.(27).cnf.hdb
CLOCK/db/clock1.(28).cnf.cdb
CLOCK/db/clock1.(28).cnf.hdb
CLOCK/db/clock1.(29).cnf.cdb
CLOCK/db/clock1.(29).cnf.hdb
CLOCK/db/clock1.(3).cnf.cdb
CLOCK/db/clock1.(3).cnf.hdb
CLOCK/db/clock1.(30).cnf.cdb
CLOCK/db/clock1.(30).cnf.hdb
CLOCK/db/clock1.(31).cnf.cdb
CLOCK/db/clock1.(31).cnf.hdb
CLOCK/db/clock1.(32).cnf.cdb
CLOCK/db/clock1.(32).cnf.hdb
CLOCK/db/clock1.(33).cnf.cdb
CLOCK/db/clock1.(33).cnf.hdb
CLOCK/db/clock1.(34).cnf.cdb
CLOCK/db/clock1.(34).cnf.hdb
CLOCK/db/clock1.(35).cnf.cdb
CLOCK/db/clock1.(35).cnf.hdb
CLOCK/db/clock1.(36).cnf.cdb
CLOCK/db/clock1.(36).cnf.hdb
CLOCK/db/clock1.(37).cnf.cdb
CLOCK/db/clock1.(37).cnf.hdb
CLOCK/db/clock1.(38).cnf.cdb
CLOCK/db/clock1.(38).cnf.hdb
CLOCK/db/clock1.(39).cnf.cdb
CLOCK/db/clock1.(39).cnf.hdb
CLOCK/db/clock1.(4).cnf.cdb
CLOCK/db/clock1.(4).cnf.hdb
CLOCK/db/clock1.(40).cnf.cdb
CLOCK/db/clock1.(40).cnf.hdb
CLOCK/db/clock1.(41).cnf.cdb
CLOCK/db/clock1.(41).cnf.hdb
CLOCK/db/clock1.(42).cnf.cdb
CLOCK/db/clock1.(42).cnf.hdb
CLOCK/db/clock1.(43).cnf.cdb
CLOCK/db/clock1.(43).cnf.hdb
CLOCK/db/clock1.(44).cnf.cdb
CLOCK/db/clock1.(44).cnf.hdb
CLOCK/db/clock1.(45).cnf.cdb
CLOCK/db/clock1.(45).cnf.hdb
CLOCK/db/clock1.(46).cnf.cdb
CLOCK/db/clock1.(46).cnf.hdb
CLOCK/db/clock1.(5).cnf.cdb
CLOCK/db/clock1.(5).cnf.hdb
CLOCK/db/clock1.(6).cnf.cdb
CLOCK/db/clock1.(6).cnf.hdb
CLOCK/db/clock1.(7).cnf.cdb
CLOCK/db/clock1.(7).cnf.hdb
CLOCK/db/clock1.(8).cnf.cdb
CLOCK/db/clock1.(8).cnf.hdb
CLOCK/db/clock1.(9).cnf.cdb
CLOCK/db/clock1.(9).cnf.hdb
CLOCK/db/clock1.asm.qmsg
CLOCK/db/clock1.cbx.xml
CLOCK/db/clock1.cmp.cdb
CLOCK/db/clock1.cmp.hdb
CLOCK/db/clock1.cmp.logdb
CLOCK/db/clock1.cmp.rdb
CLOCK/db/clock1.cmp.tdb
CLOCK/db/clock1.cmp0.ddb
CLOCK/db/clock1.db_info
CLOCK/db/clock1.eco.cdb
CLOCK/db/clock1.fit.qmsg
CLOCK/db/clock1.hier_info
CLOCK/db/clock1.hif
CLOCK/db/clock1.map.cdb
CLOCK/db/clock1.map.hdb
CLOCK/db/clock1.map.logdb
CLOCK/db/clock1.map.qmsg
CLOCK/db/clock1.map_bb.hdbx
CLOCK/db/clock1.pre_map.cdb
CLOCK/db/clock1.pre_map.hdb
CLOCK/db/clock1.psp
CLOCK/db/clock1.rtlv.hdb
CLOCK/db/clock1.rtlv_sg.cdb
CLOCK/db/clock1.rtlv_sg_swap.cdb
CLOCK/db/clock1.sgdiff.cdb
CLOCK/db/clock1.sgdiff.hdb
CLOCK/db/clock1.sim.cvwf
CLOCK/db/clock1.sim.hdb
CLOCK/db/clock1.sim.qmsg
CLOCK/db/clock1.sim.rdb
CLOCK/db/clock1.sld_design_entry.sci
CLOCK/db/clock1.sld_design_entry_dsc.sci
CLOCK/db/clock1.syn_hier_info
CLOCK/db/clock1.tan.qmsg
CLOCK/db/clock1.tis_db_list.ddb
CLOCK/db/clock1.tmw_in

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com