CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:LabALU

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    753.1kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

vhdl编写的8-bits ALU,包括加减法与或非6种工作模式-vhdl write 8-bits ALU, including the addition and subtraction and non-operating mode 6
(系统自动生成,下载前可以参看下载内容)

下载文件列表

LabALU/
LabALU/nregn/
LabALU/alu_1.01/
LabALU/aluna/
LabALU/LabALU1.0/
LabALU/LabALU_0.5/
LabALU/nregn/_xmsgs/
LabALU/nregn/xst/
LabALU/nregn/nregn_xdb/
LabALU/alu_1.01/_ngo/
LabALU/alu_1.01/xst/
LabALU/alu_1.01/_xmsgs/
LabALU/alu_1.01/alu_1.01_xdb/
LabALU/aluna/_ngo/
LabALU/LabALU_0.5/LabALU_0.5_xdb/
LabALU/LabALU_0.5/xst/
LabALU/LabALU_0.5/_xmsgs/
LabALU/nregn/xst/work/
LabALU/nregn/xst/projnav.tmp/
LabALU/nregn/xst/dump.xst/
LabALU/nregn/nregn_xdb/tmp/
LabALU/alu_1.01/xst/dump.xst/
LabALU/alu_1.01/xst/file graph/
LabALU/alu_1.01/xst/work/
LabALU/alu_1.01/xst/projnav.tmp/
LabALU/alu_1.01/alu_1.01_xdb/tmp/
LabALU/LabALU_0.5/LabALU_0.5_xdb/tmp/
LabALU/LabALU_0.5/xst/dump.xst/
LabALU/LabALU_0.5/xst/file graph/
LabALU/LabALU_0.5/xst/projnav.tmp/
LabALU/LabALU_0.5/xst/work/
LabALU/nregn/xst/work/sub00/
LabALU/nregn/xst/dump.xst/RegN.prj/
LabALU/nregn/nregn_xdb/tmp/ise/
LabALU/alu_1.01/xst/dump.xst/ALUNA.prj/
LabALU/alu_1.01/xst/dump.xst/LabALU.prj/
LabALU/alu_1.01/xst/work/sub00/
LabALU/alu_1.01/alu_1.01_xdb/tmp/ise/
LabALU/LabALU_0.5/LabALU_0.5_xdb/tmp/ise/
LabALU/LabALU_0.5/xst/dump.xst/RegN.prj/
LabALU/LabALU_0.5/xst/work/sub00/
LabALU/nregn/xst/dump.xst/RegN.prj/ngx/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/
LabALU/nregn/nregn_xdb/tmp/ise/__OBJSTORE__/
LabALU/alu_1.01/xst/dump.xst/ALUNA.prj/ngx/
LabALU/alu_1.01/xst/dump.xst/LabALU.prj/ngx/
LabALU/alu_1.01/alu_1.01_xdb/tmp/ise/__REGISTRY__/
LabALU/alu_1.01/alu_1.01_xdb/tmp/ise/__OBJSTORE__/
LabALU/LabALU_0.5/LabALU_0.5_xdb/tmp/ise/__OBJSTORE__/
LabALU/LabALU_0.5/LabALU_0.5_xdb/tmp/ise/__REGISTRY__/
LabALU/LabALU_0.5/xst/dump.xst/RegN.prj/ngx/
LabALU/nregn/xst/dump.xst/RegN.prj/ngx/opt/
LabALU/nregn/xst/dump.xst/RegN.prj/ngx/notopt/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/_ProjRepoInternal_/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/xst/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/XSLTProcess/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/xreport/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/xpwr/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/vlogcomp/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/vhpcomp/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/tsim/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/trce/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/taengine/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/simgen/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/runner/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/ProjectNavigatorGui/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/ProjectNavigator11/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/ProjectNavigator/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/platgen/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/par/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/ngdbuild/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/ngcbuild/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/ngc2edif/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/netgen/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/map/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/libgen/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/idem/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/hprep6/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/HierarchicalDesign/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/fuse/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/dumpngdio/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/cpldfit/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/common/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/bitinit/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/bitgen/
LabALU/nregn/nregn_xdb/tmp/ise/__REGISTRY__/Autonym/
LabALU/nregn/nregn_xdb/tmp/ise/__OBJSTORE__/_ProjRepoInternal_/
LabALU/nregn/nregn_xdb/tmp/ise/__OBJSTORE__/xreport/
LabALU/nregn/nregn_xdb/tmp/ise/__OBJSTORE__/ProjectNavigatorGui/
LabALU/nregn/nregn_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator11/
LabALU/nregn/nregn_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/
LabALU/nregn/nregn_xdb/tmp/ise/__OBJSTORE__/PnAutoRun/
LabALU/nregn/nregn_xdb/tmp/ise/__OBJSTORE__/HierarchicalDesign/
LabALU/nregn/nregn_xdb/tmp/ise/__OBJSTORE__/common/
LabALU/nregn/nregn_xdb/tmp/ise/__OBJSTORE__/Autonym/
LabALU/alu_1.01/xst/dump.xst/ALUNA.prj/ngx/opt/
LabALU/alu_1.01/xst/dump.xst/ALUNA.prj/ngx/notopt/
LabALU/alu_1.01/xst/dump.xst/LabALU.prj/ngx/notopt/
LabALU/alu_1.01/xst/dump.xst/LabALU.prj/ngx/opt/
LabALU/alu_1.01/alu_1.01_xdb/tmp/ise/__REGISTRY__/WebTalk/
LabALU/alu_1.01/alu_1.01_xdb/tmp/ise/__REGISTRY__/ExpandedNetlistEngine/
LabALU/alu_1.01/alu_1.01_xdb/tmp/ise/__REGISTRY__/xreport/
LabALU/alu_1.01/alu_1.01_xdb/tmp/ise/__REGISTRY__/ProjectNavigatorGui/
LabALU/alu_1.01/alu_1.01_xdb/tmp/ise/__REGISTRY__/HierarchicalDesign/
LabALU/alu_1.01/alu_1.01_xdb/tmp/ise/__REGISTRY__/bitinit/
LabALU/alu_1.01/alu_1.01_xdb/tmp/ise/__REGISTRY__/simgen/
LabALU/alu_1.01/alu_1.01_xdb/tmp/ise/__REGISTRY__/libgen/
LabALU/alu_1.01/alu_1.01_xdb/tmp/ise/__REGISTRY__/platgen/
LabALU/alu_1.01/alu_1.01_xdb/tmp/ise/__REGISTRY__/xpwr/
LabALU/alu_1.01/alu_1.01_xdb/tmp/ise/__REGISTRY__/xst/
LabALU/alu_1.01/alu_1.01_xdb/tmp/ise/__REGISTRY__/idem/
LabALU/alu_1.01/alu_1.01_xdb/tmp/ise/__REGISTRY__/vlogcomp/
LabALU/alu_1.01/alu_1.01_xdb/tmp/ise/__REGISTRY__/vhpcomp/
LabALU/alu_1.01/alu_1.01_xdb/tmp/ise/__REG

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com