CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:gray

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    2.31mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

基于Verilog的GRAY计数器。以及测试文件,在simulation的文件件中的top文件。-Based on Verilog, GRAY counter. And test files, the files in the simulation of the top pieces of the file.
(系统自动生成,下载前可以参看下载内容)

下载文件列表

gray/db/gray.(0).cnf.cdb
gray/db/gray.(0).cnf.hdb
gray/db/gray.asm.qmsg
gray/db/gray.cbx.xml
gray/db/gray.cmp.bpm
gray/db/gray.cmp.cdb
gray/db/gray.cmp.ecobp
gray/db/gray.cmp.hdb
gray/db/gray.cmp.logdb
gray/db/gray.cmp.rdb
gray/db/gray.cmp.tdb
gray/db/gray.cmp0.ddb
gray/db/gray.db_info
gray/db/gray.eco.cdb
gray/db/gray.eda.qmsg
gray/db/gray.fit.qmsg
gray/db/gray.hier_info
gray/db/gray.hif
gray/db/gray.map.bpm
gray/db/gray.map.cdb
gray/db/gray.map.ecobp
gray/db/gray.map.hdb
gray/db/gray.map.logdb
gray/db/gray.map.qmsg
gray/db/gray.map_bb.cdb
gray/db/gray.map_bb.hdb
gray/db/gray.map_bb.hdbx
gray/db/gray.map_bb.logdb
gray/db/gray.pre_map.cdb
gray/db/gray.pre_map.hdb
gray/db/gray.psp
gray/db/gray.root_partition.cmp.atm
gray/db/gray.root_partition.cmp.dfp
gray/db/gray.root_partition.cmp.hdbx
gray/db/gray.root_partition.cmp.logdb
gray/db/gray.root_partition.cmp.rcf
gray/db/gray.root_partition.map.atm
gray/db/gray.root_partition.map.hdbx
gray/db/gray.root_partition.map.info
gray/db/gray.rtlv.hdb
gray/db/gray.rtlv_sg.cdb
gray/db/gray.rtlv_sg_swap.cdb
gray/db/gray.sgdiff.cdb
gray/db/gray.sgdiff.hdb
gray/db/gray.signalprobe.cdb
gray/db/gray.sld_design_entry.sci
gray/db/gray.sld_design_entry_dsc.sci
gray/db/gray.syn_hier_info
gray/db/gray.tan.qmsg
gray/db/gray.tis_db_list.ddb
gray/db/prev_cmp_gray.asm.qmsg
gray/db/prev_cmp_gray.eda.qmsg
gray/db/prev_cmp_gray.fit.qmsg
gray/db/prev_cmp_gray.map.qmsg
gray/db/prev_cmp_gray.qmsg
gray/db/prev_cmp_gray.tan.qmsg
gray/gray.asm.rpt
gray/gray.done
gray/gray.eda.rpt
gray/gray.fit.rpt
gray/gray.fit.smsg
gray/gray.fit.summary
gray/gray.flow.rpt
gray/gray.map.rpt
gray/gray.map.summary
gray/gray.pin
gray/gray.pof
gray/gray.qpf
gray/gray.qsf
gray/gray.qws
gray/gray.sof
gray/gray.tan.rpt
gray/gray.tan.summary
gray/gray.v
gray/gray.v.bak
gray/simulation/modelsim/altera_mf.v
gray/simulation/modelsim/cyclone_atoms.v
gray/simulation/modelsim/gray.cr.mti
gray/simulation/modelsim/gray.mpf
gray/simulation/modelsim/gray.sft
gray/simulation/modelsim/gray.vo
gray/simulation/modelsim/gray_modelsim.xrf
gray/simulation/modelsim/gray_v.sdo
gray/simulation/modelsim/top.v
gray/simulation/modelsim/vsim.wlf
gray/simulation/modelsim/work/@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s/verilog.asm
gray/simulation/modelsim/work/@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s/_primary.dat
gray/simulation/modelsim/work/@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s/_primary.vhd
gray/simulation/modelsim/work/@c@y@c@l@o@n@e_@p@r@i@m_@d@f@f@e/verilog.asm
gray/simulation/modelsim/work/@c@y@c@l@o@n@e_@p@r@i@m_@d@f@f@e/_primary.dat
gray/simulation/modelsim/work/@c@y@c@l@o@n@e_@p@r@i@m_@d@f@f@e/_primary.vhd
gray/simulation/modelsim/work/@m@f_pll_reg/verilog.asm
gray/simulation/modelsim/work/@m@f_pll_reg/_primary.dat
gray/simulation/modelsim/work/@m@f_pll_reg/_primary.vhd
gray/simulation/modelsim/work/@m@f_ram7x20_syn/verilog.asm
gray/simulation/modelsim/work/@m@f_ram7x20_syn/_primary.dat
gray/simulation/modelsim/work/@m@f_ram7x20_syn/_primary.vhd
gray/simulation/modelsim/work/@m@f_stratixii_pll/verilog.asm
gray/simulation/modelsim/work/@m@f_stratixii_pll/_primary.dat
gray/simulation/modelsim/work/@m@f_stratixii_pll/_primary.vhd
gray/simulation/modelsim/work/@m@f_stratix_pll/verilog.asm
gray/simulation/modelsim/work/@m@f_stratix_pll/_primary.dat
gray/simulation/modelsim/work/@m@f_stratix_pll/_primary.vhd
gray/simulation/modelsim/work/alt3pram/verilog.asm
gray/simulation/modelsim/work/alt3pram/_primary.dat
gray/simulation/modelsim/work/alt3pram/_primary.vhd
gray/simulation/modelsim/work/altaccumulate/verilog.asm
gray/simulation/modelsim/work/altaccumulate/_primary.dat
gray/simulation/modelsim/work/altaccumulate/_primary.vhd
gray/simulation/modelsim/work/altcam/verilog.asm
gray/simulation/modelsim/work/altcam/_primary.dat
gray/simulation/modelsim/work/altcam/_primary.vhd
gray/simulation/modelsim/work/altcdr_rx/verilog.asm
gray/simulation/modelsim/work/altcdr_rx/_primary.dat
gray/simulation/modelsim/work/altcdr_rx/_primary.vhd
gray/simulation/modelsim/work/altcdr_tx/verilog.asm
gray/simulation/modelsim/work/altcdr_tx/_primary.dat
gray/simulation/modelsim/work/altcdr_tx/_primary.vhd
gray/simulation/modelsim/work/altclklock/verilog.asm
gray/simulation/modelsim/work/altclklock/_primary.dat
gray/simulation/modelsim/work/altclklock/_primary.vhd
gray/simulation/modelsim/work/altddio_bidir/verilog.asm
gray/simulation/modelsim/work/altddio_bidir/_primary.dat
gray/simulation/modelsim/work/altddio_bidir/_primary.vhd
gray/simulation/modelsim/work/altddio_in/verilog.asm
gray/simulation/modelsim/work/altddio_in/_primary.dat
gray/simulation/modelsim/work/altddio_in/_primary.vhd
gray/simulation/modelsim/work/altddio_out/verilog.asm
gray/simulation/modelsim/work/altddio_out/_primary.dat
gray/simulation/modelsim/work/altddio_out/_primary.vhd
gray/simulation/modelsim/work/altdpram/verilog.asm
gray/simulation/modelsim/work/altdpram/_primary.dat
gray/simulation/modelsim/work/altdpram/_primary.vhd
gray/simulation/modelsim/work/altfp_mult/verilog.asm
gray/simulation/modelsim/work/altfp_mult/_primary.dat
gray/simulation/modelsim/work/altfp_mult/_primary.vhd
gray/simulation

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com