CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:Example-b8-1

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    4.62mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

利用硬件可编程语言现学习使用ModelSim对Altera设计进行功能仿真的简单操作步骤-use VHDL language
(系统自动生成,下载前可以参看下载内容)

下载文件列表

Example-b8-1/
Example-b8-1/Altera_lib_files/
Example-b8-1/Altera_lib_files/220model.txt
Example-b8-1/Altera_lib_files/220model.v
Example-b8-1/Altera_lib_files/220model.vhd
Example-b8-1/Altera_lib_files/220model_87.vhd
Example-b8-1/Altera_lib_files/220pack.vhd
Example-b8-1/Altera_lib_files/altera_mf.txt
Example-b8-1/Altera_lib_files/altera_mf.v
Example-b8-1/Altera_lib_files/altera_mf.vhd
Example-b8-1/Altera_lib_files/altera_mf_87.vhd
Example-b8-1/Altera_lib_files/altera_mf_components.vhd
Example-b8-1/Altera_lib_files/stratix_atoms.v
Example-b8-1/Altera_lib_files/stratix_atoms.vhd
Example-b8-1/Altera_lib_files/stratix_components.vhd
Example-b8-1/func_sim/
Example-b8-1/func_sim/dpram8x32.v
Example-b8-1/func_sim/func_sim.cr.mti
Example-b8-1/func_sim/func_sim.mpf
Example-b8-1/func_sim/func_sim_wave.wlf
Example-b8-1/func_sim/pllx2.v
Example-b8-1/func_sim/pll_ram.v
Example-b8-1/func_sim/pll_ram_tb.v
Example-b8-1/func_sim/transcript
Example-b8-1/func_sim/vsim.wlf
Example-b8-1/func_sim/wave.bmp
Example-b8-1/func_sim/wave.do
Example-b8-1/func_sim/work/
Example-b8-1/func_sim/work/dpram8x32/
Example-b8-1/func_sim/work/dpram8x32/verilog.asm
Example-b8-1/func_sim/work/dpram8x32/_primary.dat
Example-b8-1/func_sim/work/dpram8x32/_primary.vhd
Example-b8-1/func_sim/work/pllx2/
Example-b8-1/func_sim/work/pllx2/verilog.asm
Example-b8-1/func_sim/work/pllx2/_primary.dat
Example-b8-1/func_sim/work/pllx2/_primary.vhd
Example-b8-1/func_sim/work/pll_ram/
Example-b8-1/func_sim/work/pll_ram/verilog.asm
Example-b8-1/func_sim/work/pll_ram/_primary.dat
Example-b8-1/func_sim/work/pll_ram/_primary.vhd
Example-b8-1/func_sim/work/pll_ram_tb/
Example-b8-1/func_sim/work/pll_ram_tb/verilog.asm
Example-b8-1/func_sim/work/pll_ram_tb/_primary.dat
Example-b8-1/func_sim/work/pll_ram_tb/_primary.vhd
Example-b8-1/func_sim/work/_info
Example-b8-1/pll_ram/
Example-b8-1/pll_ram/cmp_state.ini
Example-b8-1/pll_ram/db/
Example-b8-1/pll_ram/db/altsyncram_7bc1.tdf
Example-b8-1/pll_ram/db/altsyncram_bvp1.tdf
Example-b8-1/pll_ram/db/pll_ram(0).cnf.cdb
Example-b8-1/pll_ram/db/pll_ram(0).cnf.hdb
Example-b8-1/pll_ram/db/pll_ram(1).cnf.cdb
Example-b8-1/pll_ram/db/pll_ram(1).cnf.hdb
Example-b8-1/pll_ram/db/pll_ram(2).cnf.cdb
Example-b8-1/pll_ram/db/pll_ram(2).cnf.hdb
Example-b8-1/pll_ram/db/pll_ram(3).cnf.cdb
Example-b8-1/pll_ram/db/pll_ram(3).cnf.hdb
Example-b8-1/pll_ram/db/pll_ram(4).cnf.cdb
Example-b8-1/pll_ram/db/pll_ram(4).cnf.hdb
Example-b8-1/pll_ram/db/pll_ram(5).cnf.cdb
Example-b8-1/pll_ram/db/pll_ram(5).cnf.hdb
Example-b8-1/pll_ram/db/pll_ram(6).cnf.cdb
Example-b8-1/pll_ram/db/pll_ram(6).cnf.hdb
Example-b8-1/pll_ram/db/pll_ram(7).cnf.cdb
Example-b8-1/pll_ram/db/pll_ram(7).cnf.hdb
Example-b8-1/pll_ram/db/pll_ram.(0).cnf.cdb
Example-b8-1/pll_ram/db/pll_ram.(0).cnf.hdb
Example-b8-1/pll_ram/db/pll_ram.(1).cnf.cdb
Example-b8-1/pll_ram/db/pll_ram.(1).cnf.hdb
Example-b8-1/pll_ram/db/pll_ram.(2).cnf.cdb
Example-b8-1/pll_ram/db/pll_ram.(2).cnf.hdb
Example-b8-1/pll_ram/db/pll_ram.(3).cnf.cdb
Example-b8-1/pll_ram/db/pll_ram.(3).cnf.hdb
Example-b8-1/pll_ram/db/pll_ram.(4).cnf.cdb
Example-b8-1/pll_ram/db/pll_ram.(4).cnf.hdb
Example-b8-1/pll_ram/db/pll_ram.(5).cnf.cdb
Example-b8-1/pll_ram/db/pll_ram.(5).cnf.hdb
Example-b8-1/pll_ram/db/pll_ram.asm.qmsg
Example-b8-1/pll_ram/db/pll_ram.cbx.xml
Example-b8-1/pll_ram/db/pll_ram.cmp.cdb
Example-b8-1/pll_ram/db/pll_ram.cmp.hdb
Example-b8-1/pll_ram/db/pll_ram.cmp.kpt
Example-b8-1/pll_ram/db/pll_ram.cmp.logdb
Example-b8-1/pll_ram/db/pll_ram.cmp.rdb
Example-b8-1/pll_ram/db/pll_ram.cmp.tdb
Example-b8-1/pll_ram/db/pll_ram.cmp0.ddb
Example-b8-1/pll_ram/db/pll_ram.db_info
Example-b8-1/pll_ram/db/pll_ram.eco.cdb
Example-b8-1/pll_ram/db/pll_ram.eda.qmsg
Example-b8-1/pll_ram/db/pll_ram.fit.qmsg
Example-b8-1/pll_ram/db/pll_ram.hier_info
Example-b8-1/pll_ram/db/pll_ram.hif
Example-b8-1/pll_ram/db/pll_ram.lpc.html
Example-b8-1/pll_ram/db/pll_ram.lpc.rdb
Example-b8-1/pll_ram/db/pll_ram.lpc.txt
Example-b8-1/pll_ram/db/pll_ram.map.cdb
Example-b8-1/pll_ram/db/pll_ram.map.hdb
Example-b8-1/pll_ram/db/pll_ram.map.logdb
Example-b8-1/pll_ram/db/pll_ram.map.qmsg
Example-b8-1/pll_ram/db/pll_ram.pre_map.cdb
Example-b8-1/pll_ram/db/pll_ram.pre_map.hdb
Example-b8-1/pll_ram/db/pll_ram.rtlv.hdb
Example-b8-1/pll_ram/db/pll_ram.rtlv_sg.cdb
Example-b8-1/pll_ram/db/pll_ram.rtlv_sg_swap.cdb
Example-b8-1/pll_ram/db/pll_ram.sgdiff.cdb
Example-b8-1/pll_ram/db/pll_ram.sgdiff.hdb
Example-b8-1/pll_ram/db/pll_ram.sld_design_entry.sci
Example-b8-1/pll_ram/db/pll_ram.sld_design_entry_dsc.sci
Example-b8-1/pll_ram/db/pll_ram.syn_hier_info
Example-b8-1/pll_ram/db/pll_ram.tan.qmsg
Example-b8-1/pll_ram/db/pll_ram.tis_db_list.ddb
Example-b8-1/pll_ram/db/pll_ram.tmw_info
Example-b8-1/pll_ram/db/pll_ram_cmp.qrpt
Example-b8-1/pll_ram/db/pll_ram_hier_info
Example-b8-1/pll_ram/db/pll_ram_syn_hier_info
Example-b8-1/pll_ram/dpram8x32.v
Example-b8-1/pll_ram/incremental_db/
Example-b8-1/pll_ram/incremental_db/compiled_partitions/
Example-b8-1/pll_ram/incremental_db/compiled_partitions/pll_ram.root_partition.map.kpt
Example-b8-1/pll_ram/incremental_db/README
Example-b8-1/pll_ram/pllx2.v
Example-b8-1/pll_

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com