文件名称:Example-b8-2
-
所属分类:
- 标签属性:
- 上传时间:2012-11-16
-
文件大小:3.59mb
-
已下载:0次
-
提 供 者:
-
相关连接:无下载说明:别用迅雷下载,失败请重下,重下不扣分!
介绍说明--下载内容来自于网络,使用问题请自行百度
学习使用ModelSim对Altera设计进行时序仿真的简单操作步骤。-use vhdl
(系统自动生成,下载前可以参看下载内容)
下载文件列表
Example-b8-2/
Example-b8-2/Altera_lib_files/
Example-b8-2/Altera_lib_files/220model.txt
Example-b8-2/Altera_lib_files/220model.v
Example-b8-2/Altera_lib_files/220model.vhd
Example-b8-2/Altera_lib_files/220model_87.vhd
Example-b8-2/Altera_lib_files/220pack.vhd
Example-b8-2/Altera_lib_files/altera_mf.txt
Example-b8-2/Altera_lib_files/altera_mf.v
Example-b8-2/Altera_lib_files/altera_mf.vhd
Example-b8-2/Altera_lib_files/altera_mf_87.vhd
Example-b8-2/Altera_lib_files/altera_mf_components.vhd
Example-b8-2/Altera_lib_files/stratix_atoms.v
Example-b8-2/Altera_lib_files/stratix_atoms.vhd
Example-b8-2/Altera_lib_files/stratix_components.vhd
Example-b8-2/func_sim/
Example-b8-2/func_sim/dpram8x32.v
Example-b8-2/func_sim/func_sim.cr.mti
Example-b8-2/func_sim/func_sim.mpf
Example-b8-2/func_sim/func_sim_wave.wlf
Example-b8-2/func_sim/pllx2.v
Example-b8-2/func_sim/pll_ram.v
Example-b8-2/func_sim/pll_ram_tb.v
Example-b8-2/func_sim/transcript
Example-b8-2/func_sim/vsim.wlf
Example-b8-2/func_sim/wave.bmp
Example-b8-2/func_sim/wave.do
Example-b8-2/func_sim/work/
Example-b8-2/func_sim/work/dpram8x32/
Example-b8-2/func_sim/work/dpram8x32/verilog.asm
Example-b8-2/func_sim/work/dpram8x32/_primary.dat
Example-b8-2/func_sim/work/dpram8x32/_primary.vhd
Example-b8-2/func_sim/work/pllx2/
Example-b8-2/func_sim/work/pllx2/verilog.asm
Example-b8-2/func_sim/work/pllx2/_primary.dat
Example-b8-2/func_sim/work/pllx2/_primary.vhd
Example-b8-2/func_sim/work/pll_ram/
Example-b8-2/func_sim/work/pll_ram/verilog.asm
Example-b8-2/func_sim/work/pll_ram/_primary.dat
Example-b8-2/func_sim/work/pll_ram/_primary.vhd
Example-b8-2/func_sim/work/pll_ram_tb/
Example-b8-2/func_sim/work/pll_ram_tb/verilog.asm
Example-b8-2/func_sim/work/pll_ram_tb/_primary.dat
Example-b8-2/func_sim/work/pll_ram_tb/_primary.vhd
Example-b8-2/func_sim/work/_info
Example-b8-2/pll_ram/
Example-b8-2/pll_ram/cmp_state.ini
Example-b8-2/pll_ram/db/
Example-b8-2/pll_ram/db/altsyncram_7bc1.tdf
Example-b8-2/pll_ram/db/pll_ram(0).cnf.cdb
Example-b8-2/pll_ram/db/pll_ram(0).cnf.hdb
Example-b8-2/pll_ram/db/pll_ram(1).cnf.cdb
Example-b8-2/pll_ram/db/pll_ram(1).cnf.hdb
Example-b8-2/pll_ram/db/pll_ram(2).cnf.cdb
Example-b8-2/pll_ram/db/pll_ram(2).cnf.hdb
Example-b8-2/pll_ram/db/pll_ram(3).cnf.cdb
Example-b8-2/pll_ram/db/pll_ram(3).cnf.hdb
Example-b8-2/pll_ram/db/pll_ram(4).cnf.cdb
Example-b8-2/pll_ram/db/pll_ram(4).cnf.hdb
Example-b8-2/pll_ram/db/pll_ram(5).cnf.cdb
Example-b8-2/pll_ram/db/pll_ram(5).cnf.hdb
Example-b8-2/pll_ram/db/pll_ram(6).cnf.cdb
Example-b8-2/pll_ram/db/pll_ram(6).cnf.hdb
Example-b8-2/pll_ram/db/pll_ram(7).cnf.cdb
Example-b8-2/pll_ram/db/pll_ram(7).cnf.hdb
Example-b8-2/pll_ram/db/pll_ram.asm.qmsg
Example-b8-2/pll_ram/db/pll_ram.cmp.cdb
Example-b8-2/pll_ram/db/pll_ram.cmp.ddb
Example-b8-2/pll_ram/db/pll_ram.cmp.hdb
Example-b8-2/pll_ram/db/pll_ram.cmp.rdb
Example-b8-2/pll_ram/db/pll_ram.cmp.tdb
Example-b8-2/pll_ram/db/pll_ram.csf.qmsg
Example-b8-2/pll_ram/db/pll_ram.db_info
Example-b8-2/pll_ram/db/pll_ram.eda.qmsg
Example-b8-2/pll_ram/db/pll_ram.fit.qmsg
Example-b8-2/pll_ram/db/pll_ram.hif
Example-b8-2/pll_ram/db/pll_ram.icc
Example-b8-2/pll_ram/db/pll_ram.map.cdb
Example-b8-2/pll_ram/db/pll_ram.map.hdb
Example-b8-2/pll_ram/db/pll_ram.map.qmsg
Example-b8-2/pll_ram/db/pll_ram.pll_ram.sld_design_entry.sci
Example-b8-2/pll_ram/db/pll_ram.pre_map.hdb
Example-b8-2/pll_ram/db/pll_ram.project.hdb
Example-b8-2/pll_ram/db/pll_ram.rtlv.hdb
Example-b8-2/pll_ram/db/pll_ram.rtlv_sg.cdb
Example-b8-2/pll_ram/db/pll_ram.rtlv_sg_swap.cdb
Example-b8-2/pll_ram/db/pll_ram.sgdiff.cdb
Example-b8-2/pll_ram/db/pll_ram.sgdiff.hdb
Example-b8-2/pll_ram/db/pll_ram.signalprobe.cdb
Example-b8-2/pll_ram/db/pll_ram.tan.qmsg
Example-b8-2/pll_ram/db/pll_ram_cmp.qrpt
Example-b8-2/pll_ram/db/pll_ram_hier_info
Example-b8-2/pll_ram/db/pll_ram_syn_hier_info
Example-b8-2/pll_ram/dpram8x32.v
Example-b8-2/pll_ram/pllx2.v
Example-b8-2/pll_ram/pll_ram.asm.rpt
Example-b8-2/pll_ram/pll_ram.done
Example-b8-2/pll_ram/pll_ram.eda.rpt
Example-b8-2/pll_ram/pll_ram.fit.eqn
Example-b8-2/pll_ram/pll_ram.fit.rpt
Example-b8-2/pll_ram/pll_ram.flow.rpt
Example-b8-2/pll_ram/pll_ram.map.eqn
Example-b8-2/pll_ram/pll_ram.map.rpt
Example-b8-2/pll_ram/pll_ram.pin
Example-b8-2/pll_ram/pll_ram.pof
Example-b8-2/pll_ram/pll_ram.qpf
Example-b8-2/pll_ram/pll_ram.qsf
Example-b8-2/pll_ram/pll_ram.qws
Example-b8-2/pll_ram/pll_ram.sof
Example-b8-2/pll_ram/pll_ram.tan.rpt
Example-b8-2/pll_ram/pll_ram.tan.summary
Example-b8-2/pll_ram/pll_ram.v
Example-b8-2/pll_ram/simulation/
Example-b8-2/pll_ram/simulation/modelsim/
Example-b8-2/pll_ram/simulation/modelsim/pll_ram.vo
Example-b8-2/pll_ram/simulation/modelsim/pll_ram_modelsim.xrf
Example-b8-2/pll_ram/simulation/modelsim/pll_ram_v.sdo
Example-b8-2/source/
Example-b8-2/source/dpram8x32.v
Example-b8-2/source/dpram8x32_bb.v
Example-b8-2/source/dpram8x32_wave0.jpg
Example-b8-2/source/dpram8x32_wave1.jpg
Example-b8-2/source/dpram8x32_wave2.jpg
Example-b8-2/source/dpram8x32_wave3.jpg
Example-b8-2/source/dpram8x32_waveforms.html
Example-b8-2/source/pllx2.v
Example-b8-2/source/pllx2_bb.v
Example-b8-2/source/pll_ram.v
Example-b8-2/
Example-b8-2/Altera_lib_files/
Example-b8-2/Altera_lib_files/220model.txt
Example-b8-2/Altera_lib_files/220model.v
Example-b8-2/Altera_lib_files/220model.vhd
Example-b8-2/Altera_lib_files/220model_87.vhd
Example-b8-2/Altera_lib_files/220pack.vhd
Example-b8-2/Altera_lib_files/altera_mf.txt
Example-b8-2/Altera_lib_files/altera_mf.v
Example-b8-2/Altera_lib_files/altera_mf.vhd
Example-b8-2/Altera_lib_files/altera_mf_87.vhd
Example-b8-2/Altera_lib_files/altera_mf_components.vhd
Example-b8-2/Altera_lib_files/stratix_atoms.v
Example-b8-2/Altera_lib_files/stratix_atoms.vhd
Example-b8-2/Altera_lib_files/stratix_components.vhd
Example-b8-2/func_sim/
Example-b8-2/func_sim/dpram8x32.v
Example-b8-2/func_sim/func_sim.cr.mti
Example-b8-2/func_sim/func_sim.mpf
Example-b8-2/func_sim/func_sim_wave.wlf
Example-b8-2/func_sim/pllx2.v
Example-b8-2/func_sim/pll_ram.v
Example-b8-2/func_sim/pll_ram_tb.v
Example-b8-2/func_sim/transcript
Example-b8-2/func_sim/vsim.wlf
Example-b8-2/func_sim/wave.bmp
Example-b8-2/func_sim/wave.do
Example-b8-2/func_sim/work/
Example-b8-2/func_sim/work/dpram8x32/
Example-b8-2/func_sim/work/dpram8x32/verilog.asm
Example-b8-2/func_sim/work/dpram8x32/_primary.dat
Example-b8-2/func_sim/work/dpram8x32/_primary.vhd
Example-b8-2/func_sim/work/pllx2/
Example-b8-2/func_sim/work/pllx2/verilog.asm
Example-b8-2/func_sim/work/pllx2/_primary.dat
Example-b8-2/func_sim/work/pllx2/_primary.vhd
Example-b8-2/func_sim/work/pll_ram/
Example-b8-2/func_sim/work/pll_ram/verilog.asm
Example-b8-2/func_sim/work/pll_ram/_primary.dat
Example-b8-2/func_sim/work/pll_ram/_primary.vhd
Example-b8-2/func_sim/work/pll_ram_tb/
Example-b8-2/func_sim/work/pll_ram_tb/verilog.asm
Example-b8-2/func_sim/work/pll_ram_tb/_primary.dat
Example-b8-2/func_sim/work/pll_ram_tb/_primary.vhd
Example-b8-2/func_sim/work/_info
Example-b8-2/pll_ram/
Example-b8-2/pll_ram/cmp_state.ini
Example-b8-2/pll_ram/db/
Example-b8-2/pll_ram/db/altsyncram_7bc1.tdf
Example-b8-2/pll_ram/db/pll_ram(0).cnf.cdb
Example-b8-2/pll_ram/db/pll_ram(0).cnf.hdb
Example-b8-2/pll_ram/db/pll_ram(1).cnf.cdb
Example-b8-2/pll_ram/db/pll_ram(1).cnf.hdb
Example-b8-2/pll_ram/db/pll_ram(2).cnf.cdb
Example-b8-2/pll_ram/db/pll_ram(2).cnf.hdb
Example-b8-2/pll_ram/db/pll_ram(3).cnf.cdb
Example-b8-2/pll_ram/db/pll_ram(3).cnf.hdb
Example-b8-2/pll_ram/db/pll_ram(4).cnf.cdb
Example-b8-2/pll_ram/db/pll_ram(4).cnf.hdb
Example-b8-2/pll_ram/db/pll_ram(5).cnf.cdb
Example-b8-2/pll_ram/db/pll_ram(5).cnf.hdb
Example-b8-2/pll_ram/db/pll_ram(6).cnf.cdb
Example-b8-2/pll_ram/db/pll_ram(6).cnf.hdb
Example-b8-2/pll_ram/db/pll_ram(7).cnf.cdb
Example-b8-2/pll_ram/db/pll_ram(7).cnf.hdb
Example-b8-2/pll_ram/db/pll_ram.asm.qmsg
Example-b8-2/pll_ram/db/pll_ram.cmp.cdb
Example-b8-2/pll_ram/db/pll_ram.cmp.ddb
Example-b8-2/pll_ram/db/pll_ram.cmp.hdb
Example-b8-2/pll_ram/db/pll_ram.cmp.rdb
Example-b8-2/pll_ram/db/pll_ram.cmp.tdb
Example-b8-2/pll_ram/db/pll_ram.csf.qmsg
Example-b8-2/pll_ram/db/pll_ram.db_info
Example-b8-2/pll_ram/db/pll_ram.eda.qmsg
Example-b8-2/pll_ram/db/pll_ram.fit.qmsg
Example-b8-2/pll_ram/db/pll_ram.hif
Example-b8-2/pll_ram/db/pll_ram.icc
Example-b8-2/pll_ram/db/pll_ram.map.cdb
Example-b8-2/pll_ram/db/pll_ram.map.hdb
Example-b8-2/pll_ram/db/pll_ram.map.qmsg
Example-b8-2/pll_ram/db/pll_ram.pll_ram.sld_design_entry.sci
Example-b8-2/pll_ram/db/pll_ram.pre_map.hdb
Example-b8-2/pll_ram/db/pll_ram.project.hdb
Example-b8-2/pll_ram/db/pll_ram.rtlv.hdb
Example-b8-2/pll_ram/db/pll_ram.rtlv_sg.cdb
Example-b8-2/pll_ram/db/pll_ram.rtlv_sg_swap.cdb
Example-b8-2/pll_ram/db/pll_ram.sgdiff.cdb
Example-b8-2/pll_ram/db/pll_ram.sgdiff.hdb
Example-b8-2/pll_ram/db/pll_ram.signalprobe.cdb
Example-b8-2/pll_ram/db/pll_ram.tan.qmsg
Example-b8-2/pll_ram/db/pll_ram_cmp.qrpt
Example-b8-2/pll_ram/db/pll_ram_hier_info
Example-b8-2/pll_ram/db/pll_ram_syn_hier_info
Example-b8-2/pll_ram/dpram8x32.v
Example-b8-2/pll_ram/pllx2.v
Example-b8-2/pll_ram/pll_ram.asm.rpt
Example-b8-2/pll_ram/pll_ram.done
Example-b8-2/pll_ram/pll_ram.eda.rpt
Example-b8-2/pll_ram/pll_ram.fit.eqn
Example-b8-2/pll_ram/pll_ram.fit.rpt
Example-b8-2/pll_ram/pll_ram.flow.rpt
Example-b8-2/pll_ram/pll_ram.map.eqn
Example-b8-2/pll_ram/pll_ram.map.rpt
Example-b8-2/pll_ram/pll_ram.pin
Example-b8-2/pll_ram/pll_ram.pof
Example-b8-2/pll_ram/pll_ram.qpf
Example-b8-2/pll_ram/pll_ram.qsf
Example-b8-2/pll_ram/pll_ram.qws
Example-b8-2/pll_ram/pll_ram.sof
Example-b8-2/pll_ram/pll_ram.tan.rpt
Example-b8-2/pll_ram/pll_ram.tan.summary
Example-b8-2/pll_ram/pll_ram.v
Example-b8-2/pll_ram/simulation/
Example-b8-2/pll_ram/simulation/modelsim/
Example-b8-2/pll_ram/simulation/modelsim/pll_ram.vo
Example-b8-2/pll_ram/simulation/modelsim/pll_ram_modelsim.xrf
Example-b8-2/pll_ram/simulation/modelsim/pll_ram_v.sdo
Example-b8-2/source/
Example-b8-2/source/dpram8x32.v
Example-b8-2/source/dpram8x32_bb.v
Example-b8-2/source/dpram8x32_wave0.jpg
Example-b8-2/source/dpram8x32_wave1.jpg
Example-b8-2/source/dpram8x32_wave2.jpg
Example-b8-2/source/dpram8x32_wave3.jpg
Example-b8-2/source/dpram8x32_waveforms.html
Example-b8-2/source/pllx2.v
Example-b8-2/source/pllx2_bb.v
Example-b8-2/source/pll_ram.v
Example-b8-2/
本网站为编程资源及源代码搜集、介绍的搜索网站,版权归原作者所有! 粤ICP备11031372号
1999-2046 搜珍网 All Rights Reserved.