CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 文档资料

资源列表

« 1 2 ... .01 .02 .03 .04 .05 15706.07 .08 .09 .10 .11 ... 16322 »
  1. The-multi-channel-data-acquisition

    1下载:
  2. 采用NI公司的PCI-6224多功能数据采集(DAQ)板卡并基于图形化的LabVIEW进行编程,设计了多通道数据采集与显示系统。该系统可通过完整的人机交互界面进行试验参数设置和功能选择,并可对数据采集卡上传的数据进行实时显示和处理,并具有数据存储、回放及波形重新显示功能。-This paper employs the NI PCI-6224, a multifunctional data acquisition (DAQ) board , a multi-channel data acquisi
  3. 所属分类:Software Testing

    • 发布日期:2017-05-03
    • 文件大小:605987
    • 提供者:瑞婷
  1. SPSS_23_Samples_data

    1下载:
  2. SPSS 23 参考用例,用于SPSS的学习-SPSS 23 sample data
  3. 所属分类:software engineering

    • 发布日期:2017-05-25
    • 文件大小:8545868
    • 提供者:timist
  1. IEC61850-mms

    1下载:
  2. IEC61850建模资料,GOOSE配置,ICD文件集成并进行实例化,IED配置等详细说明-IEC61850 MMS GUIDE
  3. 所属分类:software engineering

    • 发布日期:2017-05-25
    • 文件大小:7712826
    • 提供者:lsl
  1. UG-OPEN-API--bianchengjichu

    1下载:
  2. UG OPEN API 编程基础, 作者董正卫、田立中等。-UG OPEN API-based programming, DONG Masae, Tian Li medium.
  3. 所属分类:software engineering

    • 发布日期:2017-05-21
    • 文件大小:5846368
    • 提供者:wangnan
  1. ug--ercikaifayushilijingjie

    1下载:
  2. UG 二次开发与实例精解,书籍+代码,作者侯永涛、丁向阳等。-UG secondary development and examples of fine solution, books+ code, OF Houyong Tao, Ding Xiangyang like.
  3. 所属分类:software engineering

    • 发布日期:2017-05-14
    • 文件大小:3085832
    • 提供者:wangnan
  1. FingerPrint_QT

    1下载:
  2. 基于QT实现的指纹识别系统,包括平滑,归一化,计算方向场,计算脊线频率,计算有效区域,图像增强,二值化,细化,提取细节等关键技术源码-Fingerprint identification system based on QT implementation, including smooth, normalization, calculate the direction field, computing ridge line frequency, the effective area, image
  3. 所属分类:software engineering

    • 发布日期:2016-04-23
    • 文件大小:51200
    • 提供者:Snail
  1. SensorTagFW_1_5

    1下载:
  2. cc2541资料介绍,相关资料查询,上位机文件,及模块和安装说明 -cc2541 information on relevant information queries, PC files and modules and installation instructions
  3. 所属分类:software engineering

    • 发布日期:2016-08-11
    • 文件大小:236544
    • 提供者:韩mr
  1. Mark

    1下载:
  2. 视觉定位,纠正定位,学习视觉好资料,初学者适用-Visual positioning, correct positioning, visual learning good information, suitable for beginners
  3. 所属分类:software engineering

    • 发布日期:2017-04-30
    • 文件大小:151359
    • 提供者:ndh
  1. wrf

    1下载:
  2. WRF模式自学教程,包含linux 系统安装教程,创建用户等-WRF model
  3. 所属分类:software engineering

    • 发布日期:2017-05-21
    • 文件大小:5904503
    • 提供者:wsd
  1. Target-tracking

    1下载:
  2. 机动目标跟踪中常用的一种跟踪模型—当前统计模型。另外附上一些儿文档及卡尔曼滤波的代码-Maneuvering target tracking commonly used as a tracking model- current statistical model. Some children attach additional documents and Kalman filter code
  3. 所属分类:Project Design

    • 发布日期:2017-05-28
    • 文件大小:10940430
    • 提供者:岳雨豪
  1. ourdev_574257

    1下载:
  2. 经典模拟电路仿真.包含RLC无源谐振滤波器、标准三角波发生器、跟随器、反相放大器等经典模拟电路。是初学者的好资料。-Classic analog circuit simulation. RLC passive resonator filter comprises a standard triangular wave generator, follower, inverting amplifier and other classic analog circuits. Is good informa
  3. 所属分类:software engineering

    • 发布日期:2017-06-17
    • 文件大小:26217048
    • 提供者:wushifeng
  1. WENO-Z

    1下载:
  2. finite difference weno-z scheme for linear advection equation
  3. 所属分类:software engineering

    • 发布日期:2017-04-30
    • 文件大小:32619
    • 提供者:rtn
« 1 2 ... .01 .02 .03 .04 .05 15706.07 .08 .09 .10 .11 ... 16322 »
搜珍网 www.dssz.com