CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 文档资料

资源列表

« 1 2 ... .23 .24 .25 .26 .27 15728.29 .30 .31 .32 .33 ... 16327 »
  1. QPSK_modulation

    1下载:
  2. 利用FPGA实现QPSK数字调制。编程采用Verilog HDL语言。-By using the FPGA realization of QPSK digital modulation. Use Verilog HDL language programming.
  3. 所属分类:software engineering

    • 发布日期:2016-10-02
    • 文件大小:2048
    • 提供者:杨晓
  1. 2SDSD2

    1下载:
  2. DONT OPEN PLZ ITS ALREADY UPLOADED... JUST WANTED TO GET MEMBERSHIP
  3. 所属分类:Project Design

    • 发布日期:2017-05-01
    • 文件大小:89550
    • 提供者:Moleesh
  1. Low-Cost--Modem

    1下载:
  2. 水声通信modem中低成本技术方案的设计,实现及海上实验结果,资料全-Design, simulation and test of the low cost UWA modem
  3. 所属分类:Project Design

    • 发布日期:2017-04-30
    • 文件大小:233055
    • 提供者:bigbigtom
  1. Adaptive-Acoustic-Modem

    1下载:
  2. 自适应调制水声通信modem,可根据水声信道特性进行调制参数的自适应优化,提供了实验数据说明技术方案的有效性-Ada ptive UWA modem to adjust the paramter of modulation to adapt to different channels
  3. 所属分类:Project Design

    • 发布日期:2017-04-30
    • 文件大小:453925
    • 提供者:bigbigtom
  1. danxiang

    1下载:
  2. 单相并网逆变器仿真,采用下垂控制算法,还能分析谐波含量-Single-phase grid-connected inverter simulation, using the droop control algorithm can analyze the harmonic content
  3. 所属分类:software engineering

    • 发布日期:2017-05-01
    • 文件大小:11826
    • 提供者:guoxin
  1. Matlab

    1下载:
  2. 捷联惯导结算程序,带有对准,可以用,推荐下载-The strapdown inertial navigation and settlement procedures, with alignment, can use, recommended download
  3. 所属分类:Software Testing

    • 发布日期:2017-04-30
    • 文件大小:11829
    • 提供者:ningkaiwen
  1. PluginTutorial_V01

    1下载:
  2. Visual C++ plugin development for mach3
  3. 所属分类:software engineering

    • 发布日期:2017-05-07
    • 文件大小:1520422
    • 提供者:nguyen
  1. CLAWPACK.RAR

    1下载:
  2. 用于计算流体力学中,计算多介质可压缩流体的数值模拟计算-ForestClaw, a parallel, multi-block adaptive code based on Clawpack and the parallel, scalable octree infrastruture in p4est
  3. 所属分类:software engineering

    • 发布日期:2017-05-09
    • 文件大小:1817341
    • 提供者:dike
  1. missile_artical

    1下载:
  2. 系统论述了弹道导 弹的模块化设计思想,并提供了相应的程序-It discussed ballistic missile system of modular design, and provides a corresponding program
  3. 所属分类:Project Design

    • 发布日期:2017-06-11
    • 文件大小:18046976
    • 提供者:whf
  1. Estimation-de-la-vitesse-de-rotation-par-modele-a

    1下载:
  2. This file contain a simulation of sensorless IFOC vector control of induction motor by an adaptive observer
  3. 所属分类:software engineering

    • 发布日期:2017-04-29
    • 文件大小:17654
    • 提供者:Abdelkarim
  1. zkemkeeper-try

    1下载:
  2. ABOUT ZKEMKEEPER USING Visual Basic
  3. 所属分类:Software Testing

    • 发布日期:2017-04-12
    • 文件大小:1402
    • 提供者:fie
  1. MATLAB-array-antenna-pattern

    1下载:
  2. 阵列天线自适应旁瓣对消相关技术的研究 通过matlab实现-Research on Adaptive Array Antenna sidelobe cancellation related technologies to achieve through matlab
  3. 所属分类:Project Design

    • 发布日期:2017-04-30
    • 文件大小:204235
    • 提供者:CZZ
« 1 2 ... .23 .24 .25 .26 .27 15728.29 .30 .31 .32 .33 ... 16327 »
搜珍网 www.dssz.com