CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 文档资料

资源列表

« 1 2 ... .37 .38 .39 .40 .41 16042.43 .44 .45 .46 .47 ... 16322 »
  1. c语言面试题集

    0下载:
  2. 这是一个对应聘软件人员很有帮助的一个题集,找了很久才找到的,希望对大家有用
  3. 所属分类:文档资料

    • 发布日期:2011-06-15
    • 文件大小:451584
    • 提供者:hewenwen206
  1. ASP选择题强化训练题

    0下载:
  2. 思远IT学院第五教学中心 BS课程选择题强化训练题
  3. 所属分类:文档资料

  1. SSH整合实例(全)

    0下载:
  2. SSH整合用户登录(全)
  3. 所属分类:文档资料

  1. 大学生论文管理系统

    0下载:
  2. 管理员:管理论文、管理系所、管理学生用户、管理角色权限等。 学生用户:进行论文管理、科技专著管理、学术报告等。
  3. 所属分类:文档资料

    • 发布日期:2011-06-15
    • 文件大小:2160128
    • 提供者:xiaobai12
  1. ogre 1.7.0的下载、配置和编译指南

    0下载:
  2. 所属分类:文档资料

    • 发布日期:2011-06-15
    • 文件大小:39936
    • 提供者:boli129
  1. RFC3261 中文

    0下载:
  2. aaa
  3. 所属分类:文档资料

    • 发布日期:2011-06-15
    • 文件大小:1158887
    • 提供者:ylzhao
  1. 动力性 matlab汽车理论

    0下载:
  2. 所属分类:文档资料

    • 发布日期:2011-06-20
    • 文件大小:47250
    • 提供者:jjzxdou
  1. 锋利的JQuery

    0下载:
  2. 锋利的jQuery
  3. 所属分类:文档资料

    • 发布日期:2011-06-16
    • 文件大小:1138383
    • 提供者:andesya@163.com
  1. matlab简介

    0下载:
  2. matlab的一些最基本的使用方法和技巧非常有用的
  3. 所属分类:文档资料

  1. 雷鸟车尾灯控制器

    0下载:
  2. 基于VHDL的时序电路设计
  3. 所属分类:文档资料

  1. 文件系统设计实验

    0下载:
  2. 文件系统设计实验
  3. 所属分类:文档资料

    • 发布日期:2011-06-16
    • 文件大小:20737
    • 提供者:master
  1. gabor滤波

    0下载:
  2. 所属分类:文档资料

    • 发布日期:2011-06-17
    • 文件大小:99840
    • 提供者:xiaoxiannvyu
« 1 2 ... .37 .38 .39 .40 .41 16042.43 .44 .45 .46 .47 ... 16322 »
搜珍网 www.dssz.com