CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 文档资料

资源列表

« 1 2 ... .89 .90 .91 .92 .93 16094.95 .96 .97 .98 .99 ... 16322 »
  1. SIFT特征匹配讲义

    0下载:
  2. sift方法的配准
  3. 所属分类:文档资料

    • 发布日期:2011-02-28
    • 文件大小:3397616
    • 提供者:lc19840928
  1. 一统天下的UNICODE码

    0下载:
  2. UNICODE
  3. 所属分类:文档资料

    • 发布日期:2011-02-28
    • 文件大小:69632
    • 提供者:wisdomy1205
  1. 学生信息管理系统的设计与实现

    0下载:
  2. 基于vb的学生信息管理系统的设计与实现
  3. 所属分类:文档资料

    • 发布日期:2011-02-28
    • 文件大小:329749
    • 提供者:cc06421
  1. 开放型ModbusTCP_规范(中文版)

    0下载:
  2. 开放型ModbusTCP_规范(中文版)
  3. 所属分类:文档资料

    • 发布日期:2011-02-28
    • 文件大小:567808
    • 提供者:hiyon88
  1. JAVA闹钟

    0下载:
  2. 数据结构上机实验的一些源代码。包含哈弗曼编码译码器,二叉树的遍历,算术表达式求值,拓扑排序等。
  3. 所属分类:文档资料

  1. wap 开发入门

    0下载:
  2. wap开发说明
  3. 所属分类:文档资料

    • 发布日期:2011-03-01
    • 文件大小:1348096
    • 提供者:ywx513
  1. rc测温

    0下载:
  2. rc测温rc测温
  3. 所属分类:文档资料

    • 发布日期:2011-03-01
    • 文件大小:55868
    • 提供者:win123
  1. 二值图像形态学实现

    0下载:
  2. 所属分类:文档资料

  1. 集通字库芯片资料

    0下载:
  2. 集通字库芯片字库齐全
  3. 所属分类:文档资料

  1. matlab滤波器设计

    0下载:
  2. MATLAB中滤波器的设计方法()包括双线性变换,窗函数法等),适用于初学者
  3. 所属分类:文档资料

    • 发布日期:2011-03-01
    • 文件大小:511916
    • 提供者:wcq985@126.com
  1. AT91SAM9261中文数据手册

    0下载:
  2. AT91SAM9261中文数据手册
  3. 所属分类:文档资料

    • 发布日期:2011-03-01
    • 文件大小:393405
    • 提供者:judy570
  1. 51实验指导书和原理图.rar

    0下载:
  2. 含: 51板原理图.doc 单片机初学者实验指导书.doc 51单片机学习板框图介绍.ppt 仿真器的使用(配仿真芯片客户用).rar
  3. 所属分类:文档资料

    • 发布日期:2011-03-01
    • 文件大小:3138933
    • 提供者:nl_gqy_2010
« 1 2 ... .89 .90 .91 .92 .93 16094.95 .96 .97 .98 .99 ... 16322 »
搜珍网 www.dssz.com