资源列表
rfid防碰撞算法实现
- rfid防碰撞算法实现
异步FIFO结构及FPGA设计
- 介绍异步FIFO的概念、应用及其结构,分析实现异步FIFO的难点问题及其解决办法;在传统设计的基础上提出一种新颖的电路结构并对其进行综合仿真和FPGA实现。
图书管理系统编码设计
- 文档的目的是描述说明图书管理系统的编码规范和主要代码的实现。主要包括编码规范,命名规范,注释规范,语句声明等说明。还有功能实现的详细c#源代码
电子商务客户网络购物行为挖掘
- 电子商务客户网络购物行为挖掘.pdf
4G无线网络技术
- 4G无线网络技术,英文版,经典
matlab实用建模教程
- matlab实用建模教程
自动售货机VHDL程序与仿真
- library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买
计算机网络安全教程PPT
- 网络安全教程PPT下载