CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 文档资料 软件工程

资源列表

« 1 2 ... .64 .65 .66 .67 .68 369.70 .71 .72 .73 .74 ... 8411 »
  1. vb_localnet

    0下载:
  2. 用VB编写小型的网络系统 Visual Basic 以 下 简 称(VB) 十 一 个 功 能 强 大 的 编 程 语 言。 特 别 是4.0 以 后, 支 持 了OLE Automation 技 术, 给 编 程 带 来 了 更 大 的 方 便。 前 些 时, 我 试 着 编 写 一 个 支 持 网 络 的 数 据 库。 但 是 由 于 没 有 联 网, 所 以 没 法 测 试。 于 是, 我 想 到 了OLE Automation, 用 它 就 可 以 在 一 台 机 器 上 测 试 网
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:3383
    • 提供者:DFDF
  1. call_net

    0下载:
  2. 用VB编写网络寻呼。提起ICQ的大名,爱好电脑的朋友一定不会感到陌生的吧?ICQ就是互联网上的寻呼机,无论什么时候,只要你的朋友在线,你只需在ICQ中输入他的ID号码,你就可以在互联网上呼到他。ICQ由于其方便、快捷,且拥有众多的注册用户而一举成为互联网上最流行的网络寻呼机,它几乎成为每一个上网用户的必备之物。当你在使用ICQ的时候,是否会想过自己动手编写一个网络寻呼机呢?这其实在VB中就可以实现。  
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:2599
    • 提供者:DFDF
  1. vb_vedio

    0下载:
  2. 自己做浏览器?有没有搞错?不要说像IE这样的庞然大物,就是小巧的Opera,我们大多数普通人也决计搞不出来。但如果你的机器里装有VB5.0专业版,那么事情就好办多了,想试试吗?那好,Let`s go!
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:2089
    • 提供者:DFDF
  1. ShiguangShan-PhD-Dissertation-200407

    0下载:
  2. 一份讲解排课系统设计的文档,对大家也许有帮助吧!希望大家相互交流
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1357944
    • 提供者:chenhaidong
  1. ARM.ppt

    0下载:
  2. 基于ARM的嵌入式系统应用程序设计,共八章内容。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:11450032
    • 提供者:肖献强
  1. ucos51v203demo

    0下载:
  2. 范例程序 可以学习mcos的简单移植。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:132942
    • 提供者:孙欢庆
  1. vhdlsorse

    0下载:
  2. vhdl实例文件
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1180816
    • 提供者:hcf
  1. fpga_experience

    0下载:
  2. fpga经验谈,适合初学者,强烈推荐
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1112678
    • 提供者:张建新
  1. skxm

    0下载:
  2. 思科网络实验包项目书,对构建网络实验室很有用处。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1760007
    • 提供者:zsm
  1. LinuxUSBdrive

    0下载:
  2. Linux系统下USB摄像头驱动开发。适合有一定嵌入式系统基础的开发人员。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:987700
    • 提供者:zsm
  1. EDA

    0下载:
  2. 数字电路EDA设计基础。这是一个介绍EDA基础入门知识的讲座材料。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:348458
    • 提供者:zsm
  1. VHDLfullCODEforCAcodeGenerator

    0下载:
  2. VHDLfullCODEforCAcodeGenerator.rar为CA码发生器的完整VHDL程序,可用于CA码的产生与仿真验证等。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:30164
    • 提供者:petri
« 1 2 ... .64 .65 .66 .67 .68 369.70 .71 .72 .73 .74 ... 8411 »
搜珍网 www.dssz.com