CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 文档资料 软件工程

资源列表

« 1 2 ... .67 .68 .69 .70 .71 272.73 .74 .75 .76 .77 ... 8411 »
  1. fanuc-chiavetta-virtuale

    1下载:
  2. crack per fanuc manual guide 31i v2.4
  3. 所属分类:software engineering

    • 发布日期:2015-03-01
    • 文件大小:178176
    • 提供者:heart_life
  1. tridiagLUsolve.m

    1下载:
  2. Solution of system (LU)*v=d
  3. 所属分类:软件工程

    • 发布日期:2017-03-03
    • 文件大小:1054
    • 提供者:Carlotta
  1. design-documents

    1下载:
  2. 网页信息采集子系统: 网页采集:动态查找及实时分析新增网页,读取网页回帖信息。 网页过滤:,对获取的网页,通过网页清洗模块清除网页中的广告、导航信息、 图片、版权说明等噪声数据,萃取出相关网页的标题、正文、链接地址、采集时 间、回帖、发帖人数等数据。 网页信息预处理子系统: 网页审查脏字:主要功能包括中文分词、词性标注、命名实体识别、新词识别, 建立数据库说明每类词库,建立敏感词词库。 网页舆情监测:监测是否出现了一定影响的舆论,即回帖的回帖或支持、顶等 达到
  3. 所属分类:software engineering

    • 发布日期:2017-11-08
    • 文件大小:641845
    • 提供者:黄生
  1. first-lesson

    1下载:
  2. 介绍了常用的最优估计方法,包括最小二乘发,极大似然估计法,递推法等-The optimal estimation is introduced several kinds of commonly used methods, including least squares, maximum likelihood estimation, recurrence, etc
  3. 所属分类:software engineering

    • 发布日期:2017-11-14
    • 文件大小:428994
    • 提供者:田宗超
  1. algo_affine_tracking

    1下载:
  2. 这是仿射变换与金字塔光流法结合的文献。。。里面伪代码已经写的非常详细了。。直接看文章就可以把代码写出来。-This is the affine transformation pyramid optical flow method. . . Inside the pseudo code has been written in great detail. . Direct look at the articles you can write out the code.
  3. 所属分类:software engineering

    • 发布日期:2017-03-17
    • 文件大小:158720
    • 提供者:wqq
  1. Directshow

    1下载:
  2. 数字图像的大作业,能够读取图像,显示,并且能够锐化,锐化程度可以调节-Digital image of the big jobs, be able to read the image, display, and can sharpen Sharpness can be adjusted
  3. 所属分类:software engineering

    • 发布日期:2017-11-08
    • 文件大小:6684290
    • 提供者:苏雅
  1. IPM-bootstrap-process-analysis

    1下载:
  2. 对智能功率模块( IPM)自举电路的自举过程进行了分析。通过在伺服系统应用,以三菱公司的 DIP2IPM为例给出了自举电容、自举电阻和自举二级管的参数计算方法,在硬件和软件上都详细给出了自举 电路的应用。解决了自举电路设计时参数选择的难点问题。-Intelligent power module (IPM) bootstrap circuit bootstrap analysis. In the application of the servo system to Mitsubishi D
  3. 所属分类:software engineering

    • 发布日期:2015-09-23
    • 文件大小:175104
    • 提供者:宋瑞鹏
  1. tu

    1下载:
  2. 微分方程数值解的梯形方法,欧拉方法,龙格-库塔方法及数值分析。-Trapezoidal method of differential equations, Euler' s method, Runge- Kutta methods and numerical analysis.
  3. 所属分类:software engineering

    • 发布日期:2017-11-28
    • 文件大小:27635
    • 提供者:柳月
  1. key

    1下载:
  2. stm32下 键盘扫描,可扫描单机,双击,及长按-stm32 under the keyboard scan , scan stand-alone , double , and long press
  3. 所属分类:software engineering

    • 发布日期:2017-11-20
    • 文件大小:4181
    • 提供者:zhuweijian
  1. Cadence_Allegro16.5_crack

    1下载:
  2. cadence allegro spb 16.5 破解下载,本破解经本人经在Windows 7 64位和 windows XP 32位系统下验证通过没问题。强烈推荐!-cadence allegro spb 16.5 crack download, this crack by himself by validation through no problem in Windows 7 64-bit and windows XP 32-bit systems.Highly recommended!
  3. 所属分类:software engineering

    • 发布日期:2017-11-01
    • 文件大小:3603834
    • 提供者:zhang
  1. RLE-Encode

    1下载:
  2. 对某些相同灰度级成片连续出现的图像(如洪水图),行程编码也是一种高效的编码方法。特别是对二值图像,效果尤为显著。在一个逐行存储的图像中,具有相同灰度值的一些像素的序列,称为一个行程。-Appear in successive images of some of the same gray level into tablets (such as flood charts), the run length coding is an efficient coding method. In partic
  3. 所属分类:software engineering

    • 发布日期:2017-11-07
    • 文件大小:867693
    • 提供者:王云谦
  1. VideoChat

    1下载:
  2. 视频聊天程序,可点对点的进行视频,语音的即时通讯系统。-video chart
  3. 所属分类:software engineering

    • 发布日期:2017-01-17
    • 文件大小:3716096
    • 提供者:cayamain
« 1 2 ... .67 .68 .69 .70 .71 272.73 .74 .75 .76 .77 ... 8411 »
搜珍网 www.dssz.com