CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 文档资料 软件工程

资源列表

« 1 2 ... .64 .65 .66 .67 .68 8069.70 .71 .72 .73 .74 ... 8411 »
  1. ShiguangShan-PhD-Dissertation-200407

    0下载:
  2. 一份讲解排课系统设计的文档,对大家也许有帮助吧!希望大家相互交流
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1357944
    • 提供者:chenhaidong
  1. ARM.ppt

    0下载:
  2. 基于ARM的嵌入式系统应用程序设计,共八章内容。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:11450032
    • 提供者:肖献强
  1. ucos51v203demo

    0下载:
  2. 范例程序 可以学习mcos的简单移植。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:132942
    • 提供者:孙欢庆
  1. vhdlsorse

    0下载:
  2. vhdl实例文件
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1180816
    • 提供者:hcf
  1. fpga_experience

    0下载:
  2. fpga经验谈,适合初学者,强烈推荐
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1112678
    • 提供者:张建新
  1. skxm

    0下载:
  2. 思科网络实验包项目书,对构建网络实验室很有用处。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1760007
    • 提供者:zsm
  1. LinuxUSBdrive

    0下载:
  2. Linux系统下USB摄像头驱动开发。适合有一定嵌入式系统基础的开发人员。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:987700
    • 提供者:zsm
  1. EDA

    0下载:
  2. 数字电路EDA设计基础。这是一个介绍EDA基础入门知识的讲座材料。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:348458
    • 提供者:zsm
  1. VHDLfullCODEforCAcodeGenerator

    0下载:
  2. VHDLfullCODEforCAcodeGenerator.rar为CA码发生器的完整VHDL程序,可用于CA码的产生与仿真验证等。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:30164
    • 提供者:petri
  1. filter-vhdl-code

    0下载:
  2. filter-vhdl-code.rar为滤波器的完整VHDL程序,可用于IIR与FIR滤波器的仿真与验证实现,包括代码综合。使用版本为ISE6.3.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:173751
    • 提供者:petri
  1. 10vhdlexamples

    0下载:
  2. 10个VHDL程序实例,包括加法器,全加器、函数发生器,选择器等。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:42179
    • 提供者:petri
  1. OTCL_and_TCLCL_in_NS2

    0下载:
  2. 理解NS2中的OTcl/tclCL,正是众多对ns2两种开发语言机制有疑惑的人的良药
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:91732
    • 提供者:张力
« 1 2 ... .64 .65 .66 .67 .68 8069.70 .71 .72 .73 .74 ... 8411 »
搜珍网 www.dssz.com