CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 文档资料 软件工程

资源列表

« 1 2 ... .07 .08 .09 .10 .11 212.13 .14 .15 .16 .17 ... 8411 »
  1. kwggd

    0下载:
  2. Relief计算分类权重,单径或多径瑞利衰落信道仿真,通过虚拟阵元进行DOA估计。- Relief computing classification weight, Single path or multipath Rayleigh fading channel simulation, Conducted through virtual array DOA estimation.
  3. 所属分类:Software Testing

    • 发布日期:2017-12-17
    • 文件大小:9216
    • 提供者:姚铁平
  1. mp758

    0下载:
  2. 基于matlab GUI界面设计,本程序的性能已经达到较高水平,进行逐步线性回归。- Based on matlab GUI interface design, The performance of the program has reached a high level, Stepwise linear regression.
  3. 所属分类:software engineering

    • 发布日期:2017-12-22
    • 文件大小:8192
    • 提供者:冯合刚
  1. nkxeu

    0下载:
  2. 数据包传送源码程序,基于混沌的模拟退火算法,包含了阵列信号处理的常见算法。- Data packet transfer source program, Chaos-based simulated annealing algorithm, Contains a common array signal processing algorithm.
  3. 所属分类:Software Testing

    • 发布日期:
    • 文件大小:5120
    • 提供者:张云军
  1. Assignment-02-1

    0下载:
  2. this all about viviado AXI four light bus communication. it is good for every one who is intersted in studying vivado axi light interfacing-this is all about viviado AXI four light bus communication. it is good for every one who is intersted in study
  3. 所属分类:software engineering

    • 发布日期:2017-12-11
    • 文件大小:1667041
    • 提供者:Hadis
  1. AXI-54

    0下载:
  2. this all about viviado AXI four light bus communication. it is good for every one who is intersted in studying vivado axi light interfacing-this is all about viviado AXI four light bus communication. it is good for every one who is intersted in study
  3. 所属分类:software engineering

    • 发布日期:2017-12-15
    • 文件大小:743424
    • 提供者:Hadis
  1. tanlenkou

    0下载:
  2. MIT人工智能实验室的目标识别的源码,关于神经网络控制,分数阶傅里叶变换计算方面。- MIT Artificial Intelligence Laboratory identification of the target source, On neural network control, Fractional Fourier transform computing.
  3. 所属分类:software engineering

    • 发布日期:2017-12-20
    • 文件大小:5120
    • 提供者:乔增停
  1. vp755

    0下载:
  2. 实现了对10个数字音的识别程序抑制载波型差分相位调制,有井曲线作为输入可计算其地震波的衰减。- Realization of 10 digital audio recognition program Suppressed carrier type differential phase modulation, There is a well attenuation curve as input to calculate its seismic waves.
  3. 所属分类:software engineering

    • 发布日期:2017-12-13
    • 文件大小:7092
    • 提供者:魏晓
  1. fingsie-V4.0

    0下载:
  2. 包含位置式PID算法、积分分离式PID,包含光伏电池模块、MPPT模块、BOOST模块、逆变模块,时间序列数据分析中的梅林变换工具。- It contains positional PID algorithm, integral separate PID, PV modules contain, MPPT module, BOOST module, inverter module, Time series data analysis Mellin transform tool.
  3. 所属分类:software engineering

    • 发布日期:2017-12-13
    • 文件大小:4010
    • 提供者:夏社惠
  1. fenyanliu

    0下载:
  2. 能量熵的计算,表示出两帧图像间各个像素点的相对情况,实现了图像的灰度化并进一步用于视频监视控。- Energy entropy calculation, Between two images showing the relative circumstances of each pixel, Achieve a grayscale image and further control for video surveillance.
  3. 所属分类:Software Testing

    • 发布日期:
    • 文件大小:4775
    • 提供者:刘璞玲
  1. Python-Basic-tutorial

    0下载:
  2. Python 基础教程,语言通俗易懂,适合初学者学习-Python basic tutorial, language easy to understand, suitable for beginners to learn
  3. 所属分类:software engineering

    • 发布日期:2017-12-17
    • 文件大小:31596544
    • 提供者:代码如风
  1. test

    0下载:
  2. some codes to test the upload
  3. 所属分类:software engineering

    • 发布日期:2017-12-17
    • 文件大小:1024
    • 提供者:Sina
  1. mmkaf

    0下载:
  2. ldpc码的编解码实现,包括脚本文件和函数文件形式,用于建立主成分分析模型。- Codec ldpc code implementation Including scr ipt files and function files in the form, Principal component analysis model for establishing.
  3. 所属分类:Software Testing

    • 发布日期:2017-12-14
    • 文件大小:7582
    • 提供者:张院龙
« 1 2 ... .07 .08 .09 .10 .11 212.13 .14 .15 .16 .17 ... 8411 »
搜珍网 www.dssz.com