CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 文档资料 行业发展研究

资源列表

« 1 2 ... .27 .28 .29 .30 .31 932.33 .34 .35 .36 .37 ... 1013 »
  1. Project_paper

    0下载:
  2. trace transform application in matlab
  3. 所属分类:Development Research

    • 发布日期:2017-05-02
    • 文件大小:727703
    • 提供者:emir
  1. GoDec

    0下载:
  2. DaCheng Tao关于GoDec的文章,是机器视觉领域的前沿研究方向,值得认真学习的好论文!-The article DaCheng Tao GoDec is the forefront of research in the field of machine vision, good papers worthy of serious study!
  3. 所属分类:Development Research

    • 发布日期:2017-03-30
    • 文件大小:855891
    • 提供者:Raphaelite
  1. Lattice_ISPlever_lic

    0下载:
  2. Lattice ISPI ever license-Lattice ISPI ever license
  3. 所属分类:Development Research

    • 发布日期:2017-04-23
    • 文件大小:299729
    • 提供者:GommyRi
  1. signal-processing

    0下载:
  2. 信号处理未来发展的几篇文章,Signal processing-- A view of the future,where physics and mathematics meet-Signal processing the articles of the future development of the Signal processing- A view of the future, where physics and mathematics meet
  3. 所属分类:Development Research

    • 发布日期:2017-04-04
    • 文件大小:704488
    • 提供者:katherine
  1. Wimax-OFDMA

    0下载:
  2. Wimax ofdma C++ codes with optimum power allocation
  3. 所属分类:Development Research

    • 发布日期:2017-12-09
    • 文件大小:7141
    • 提供者:kamesh
  1. TMS320F2812-SVPWM

    0下载:
  2. This is matlab mdl file ,SVPWM realization on TMS320F2812. Its wonderful.-This is matlab mdl file ,SVPWM realization on TMS320F2812. Its wonderful.
  3. 所属分类:Development Research

    • 发布日期:2017-04-25
    • 文件大小:165618
    • 提供者:baihua
  1. projective

    0下载:
  2. projective reconstruction
  3. 所属分类:Development Research

    • 发布日期:2017-04-24
    • 文件大小:308941
    • 提供者:lij
  1. wpsnr

    0下载:
  2. 基于人眼系统的(hvs)图像质量评估算法-NO reference image quality assessment
  3. 所属分类:Development Research

    • 发布日期:2017-04-05
    • 文件大小:1353
    • 提供者:liu dl
  1. How-many-antennas-do-we-need

    0下载:
  2. 3D MIMO下的性能优化需要天线数讨论,给出各种天线阵的性能比较-3D MIMO performance optimization requires the number of antennas discussion, give the performance of various antenna array
  3. 所属分类:Development Research

    • 发布日期:2017-03-25
    • 文件大小:321717
    • 提供者:蓝波
  1. digsilent环境下风力机的研究

    0下载:
  2. digsilent环境下风力机的研究,学风电的很有用-Dynamic wind turbine models in power system simulation tool
  3. 所属分类:行业发展研究

    • 发布日期:2017-05-13
    • 文件大小:3268746
    • 提供者:liuhongen
  1. 8023anLDPC

    0下载:
  2. LDPC FEC 802.3 support IP Design Note
  3. 所属分类:Development Research

    • 发布日期:2017-04-16
    • 文件大小:41791
    • 提供者:Sam
  1. multiviewsdx9111

    0下载:
  2. Introduction to 3D Game Programming with DirectX 9.0c— A Shader Approach
  3. 所属分类:行业发展研究

« 1 2 ... .27 .28 .29 .30 .31 932.33 .34 .35 .36 .37 ... 1013 »
搜珍网 www.dssz.com