CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 文档资料 其它文档

资源列表

« 1 2 ... 45 46 47 48 49 5051 52 53 54 55 ... 196 »
  1. fpga流程设计

    0下载:
  2. fpga流程设计的清楚介绍,有助于整体理解fpga的设计流程。
  3. 所属分类:其它文档

    • 发布日期:2010-12-01
    • 文件大小:117444
    • 提供者:brperl@163.com
  1. ne555电路大全

    0下载:
  2. ne555电路大全.doc
  3. 所属分类:其它文档

    • 发布日期:2012-11-12
    • 文件大小:117760
    • 提供者:coolhandy
  1. 数据库连接 VC

    0下载:
  2. 数据库连接 VC.doc
  3. 所属分类:其它文档

    • 发布日期:2012-11-13
    • 文件大小:117760
    • 提供者:coolhandy
  1. verilog编写uart验证有效版.rar

    0下载:
  2. verilog编写uart验证有效版.rar
  3. 所属分类:其它文档

    • 发布日期:2021-09-04
    • 文件大小:118475
    • 提供者:coolhandy
  1. su660刷机教程

    0下载:
  2. su660刷机教程!
  3. 所属分类:其它文档

  1. OFDM-IDMA

    0下载:
  2. This article outlines the basic principles of OFDM-IDMA. Comparisons with other alternative technologies such as OFDM-CDMA and OFDMA are provided. Some attractive features of OFMD-IDMA are explained, including lowcost iterative multi-user detection,
  3. 所属分类:其它文档

    • 发布日期:2015-12-14
    • 文件大小:119035
    • 提供者:ghalzaikhan
  1. 基于快速查表的RSSI定位算法

    0下载:
  2. 基于快速查表的RSSI定位算法
  3. 所属分类:其它文档

    • 发布日期:2010-09-15
    • 文件大小:119222
    • 提供者:greatcat
  1. java面试

    0下载:
  2. java面试-关于数据库的常常会问到的的知识点
  3. 所属分类:其它文档

  1. 日语一级必修语法

    0下载:
  2. 考日语一级必修语法,经典!
  3. 所属分类:其它文档

    • 发布日期:2011-03-03
    • 文件大小:120320
    • 提供者:ouikin
  1. 显示屏程序

    0下载:
  2. 显示屏28c64的c程序,完全正常运行。
  3. 所属分类:其它文档

    • 发布日期:2014-05-16
    • 文件大小:120320
    • 提供者:newlyq
  1. RFID in Hospitals-Publication Version-1.rar

    0下载:
  2. RFID in Hospitals-Publication Version-1.rar
  3. 所属分类:其它文档

    • 发布日期:2022-06-01
    • 文件大小:120445
    • 提供者:coolhandy
  1. LED标准

    0下载:
  2. 所属分类:其它文档

« 1 2 ... 45 46 47 48 49 5051 52 53 54 55 ... 196 »
搜珍网 www.dssz.com