文件名称:bin2chuan
-
所属分类:
- 标签属性:
- 上传时间:2013-03-16
-
文件大小:311.91kb
-
已下载:0次
-
提 供 者:
-
相关连接:无下载说明:别用迅雷下载,失败请重下,重下不扣分!
介绍说明--下载内容来自于网络,使用问题请自行百度
在FPGA开发板上座的输出波形的实验,输出波形通过示波器显示出来-// This is an example of a simple 32 bit up-counter called simple_counter.v
// It has a single clock input and a 32-bit output port
module simple_count(input clock , output reg [31:0] counter_out)
always @ (posedge clock)// on positive clock edge
begin
counter_out <=#1 counter_out+ 1 // increment counter
end
endmodule// end of module counter
// It has a single clock input and a 32-bit output port
module simple_count(input clock , output reg [31:0] counter_out)
always @ (posedge clock)// on positive clock edge
begin
counter_out <=#1 counter_out+ 1 // increment counter
end
endmodule// end of module counter
(系统自动生成,下载前可以参看下载内容)
下载文件列表
并串转换/b_c.asm.rpt
并串转换/b_c.done
并串转换/b_c.eda.rpt
并串转换/b_c.fit.rpt
并串转换/b_c.fit.smsg
并串转换/b_c.fit.summary
并串转换/b_c.flow.rpt
并串转换/b_c.map.rpt
并串转换/b_c.map.summary
并串转换/b_c.pin
并串转换/b_c.pof
并串转换/b_c.qpf
并串转换/b_c.qsf
并串转换/b_c.qws
并串转换/b_c.sim.rpt
并串转换/b_c.sof
并串转换/b_c.tan.rpt
并串转换/b_c.tan.summary
并串转换/b_c.v
并串转换/b_c.v.bak
并串转换/b_c.vwf
并串转换/db/b_c.(0).cnf.cdb
并串转换/db/b_c.(0).cnf.hdb
并串转换/db/b_c.asm.qmsg
并串转换/db/b_c.asm_labs.ddb
并串转换/db/b_c.cbx.xml
并串转换/db/b_c.cmp.bpm
并串转换/db/b_c.cmp.cdb
并串转换/db/b_c.cmp.ecobp
并串转换/db/b_c.cmp.hdb
并串转换/db/b_c.cmp.kpt
并串转换/db/b_c.cmp.logdb
并串转换/db/b_c.cmp.rdb
并串转换/db/b_c.cmp.tdb
并串转换/db/b_c.cmp0.ddb
并串转换/db/b_c.cmp_merge.kpt
并串转换/db/b_c.db_info
并串转换/db/b_c.eco.cdb
并串转换/db/b_c.eda.qmsg
并串转换/db/b_c.eds_overflow
并串转换/db/b_c.fit.qmsg
并串转换/db/b_c.fnsim.cdb
并串转换/db/b_c.fnsim.hdb
并串转换/db/b_c.fnsim.qmsg
并串转换/db/b_c.hier_info
并串转换/db/b_c.hif
并串转换/db/b_c.lpc.html
并串转换/db/b_c.lpc.rdb
并串转换/db/b_c.lpc.txt
并串转换/db/b_c.map.bpm
并串转换/db/b_c.map.cdb
并串转换/db/b_c.map.ecobp
并串转换/db/b_c.map.hdb
并串转换/db/b_c.map.kpt
并串转换/db/b_c.map.logdb
并串转换/db/b_c.map.qmsg
并串转换/db/b_c.map_bb.cdb
并串转换/db/b_c.map_bb.hdb
并串转换/db/b_c.map_bb.logdb
并串转换/db/b_c.pre_map.cdb
并串转换/db/b_c.pre_map.hdb
并串转换/db/b_c.rtlv.hdb
并串转换/db/b_c.rtlv_sg.cdb
并串转换/db/b_c.rtlv_sg_swap.cdb
并串转换/db/b_c.sgdiff.cdb
并串转换/db/b_c.sgdiff.hdb
并串转换/db/b_c.sim.cvwf
并串转换/db/b_c.sim.hdb
并串转换/db/b_c.sim.qmsg
并串转换/db/b_c.sim.rdb
并串转换/db/b_c.simfam
并串转换/db/b_c.sld_design_entry.sci
并串转换/db/b_c.sld_design_entry_dsc.sci
并串转换/db/b_c.syn_hier_info
并串转换/db/b_c.tan.qmsg
并串转换/db/b_c.tis_db_list.ddb
并串转换/db/b_c.tmw_info
并串转换/db/b_c_global_asgn_op.abo
并串转换/db/prev_cmp_b_c.asm.qmsg
并串转换/db/prev_cmp_b_c.eda.qmsg
并串转换/db/prev_cmp_b_c.fit.qmsg
并串转换/db/prev_cmp_b_c.map.qmsg
并串转换/db/prev_cmp_b_c.qmsg
并串转换/db/prev_cmp_b_c.sim.qmsg
并串转换/db/prev_cmp_b_c.tan.qmsg
并串转换/db/wed.wsf
并串转换/incremental_db/compiled_partitions/b_c.root_partition.cmp.atm
并串转换/incremental_db/compiled_partitions/b_c.root_partition.cmp.cfm
并串转换/incremental_db/compiled_partitions/b_c.root_partition.cmp.dfp
并串转换/incremental_db/compiled_partitions/b_c.root_partition.cmp.hdbx
并串转换/incremental_db/compiled_partitions/b_c.root_partition.cmp.kpt
并串转换/incremental_db/compiled_partitions/b_c.root_partition.cmp.logdb
并串转换/incremental_db/compiled_partitions/b_c.root_partition.cmp.rcf
并串转换/incremental_db/compiled_partitions/b_c.root_partition.map.atm
并串转换/incremental_db/compiled_partitions/b_c.root_partition.map.dpi
并串转换/incremental_db/compiled_partitions/b_c.root_partition.map.hdbx
并串转换/incremental_db/compiled_partitions/b_c.root_partition.map.kpt
并串转换/incremental_db/README
并串转换/incremental_db/compiled_partitions
并串转换/db
并串转换/incremental_db
并串转换
并串转换/b_c.done
并串转换/b_c.eda.rpt
并串转换/b_c.fit.rpt
并串转换/b_c.fit.smsg
并串转换/b_c.fit.summary
并串转换/b_c.flow.rpt
并串转换/b_c.map.rpt
并串转换/b_c.map.summary
并串转换/b_c.pin
并串转换/b_c.pof
并串转换/b_c.qpf
并串转换/b_c.qsf
并串转换/b_c.qws
并串转换/b_c.sim.rpt
并串转换/b_c.sof
并串转换/b_c.tan.rpt
并串转换/b_c.tan.summary
并串转换/b_c.v
并串转换/b_c.v.bak
并串转换/b_c.vwf
并串转换/db/b_c.(0).cnf.cdb
并串转换/db/b_c.(0).cnf.hdb
并串转换/db/b_c.asm.qmsg
并串转换/db/b_c.asm_labs.ddb
并串转换/db/b_c.cbx.xml
并串转换/db/b_c.cmp.bpm
并串转换/db/b_c.cmp.cdb
并串转换/db/b_c.cmp.ecobp
并串转换/db/b_c.cmp.hdb
并串转换/db/b_c.cmp.kpt
并串转换/db/b_c.cmp.logdb
并串转换/db/b_c.cmp.rdb
并串转换/db/b_c.cmp.tdb
并串转换/db/b_c.cmp0.ddb
并串转换/db/b_c.cmp_merge.kpt
并串转换/db/b_c.db_info
并串转换/db/b_c.eco.cdb
并串转换/db/b_c.eda.qmsg
并串转换/db/b_c.eds_overflow
并串转换/db/b_c.fit.qmsg
并串转换/db/b_c.fnsim.cdb
并串转换/db/b_c.fnsim.hdb
并串转换/db/b_c.fnsim.qmsg
并串转换/db/b_c.hier_info
并串转换/db/b_c.hif
并串转换/db/b_c.lpc.html
并串转换/db/b_c.lpc.rdb
并串转换/db/b_c.lpc.txt
并串转换/db/b_c.map.bpm
并串转换/db/b_c.map.cdb
并串转换/db/b_c.map.ecobp
并串转换/db/b_c.map.hdb
并串转换/db/b_c.map.kpt
并串转换/db/b_c.map.logdb
并串转换/db/b_c.map.qmsg
并串转换/db/b_c.map_bb.cdb
并串转换/db/b_c.map_bb.hdb
并串转换/db/b_c.map_bb.logdb
并串转换/db/b_c.pre_map.cdb
并串转换/db/b_c.pre_map.hdb
并串转换/db/b_c.rtlv.hdb
并串转换/db/b_c.rtlv_sg.cdb
并串转换/db/b_c.rtlv_sg_swap.cdb
并串转换/db/b_c.sgdiff.cdb
并串转换/db/b_c.sgdiff.hdb
并串转换/db/b_c.sim.cvwf
并串转换/db/b_c.sim.hdb
并串转换/db/b_c.sim.qmsg
并串转换/db/b_c.sim.rdb
并串转换/db/b_c.simfam
并串转换/db/b_c.sld_design_entry.sci
并串转换/db/b_c.sld_design_entry_dsc.sci
并串转换/db/b_c.syn_hier_info
并串转换/db/b_c.tan.qmsg
并串转换/db/b_c.tis_db_list.ddb
并串转换/db/b_c.tmw_info
并串转换/db/b_c_global_asgn_op.abo
并串转换/db/prev_cmp_b_c.asm.qmsg
并串转换/db/prev_cmp_b_c.eda.qmsg
并串转换/db/prev_cmp_b_c.fit.qmsg
并串转换/db/prev_cmp_b_c.map.qmsg
并串转换/db/prev_cmp_b_c.qmsg
并串转换/db/prev_cmp_b_c.sim.qmsg
并串转换/db/prev_cmp_b_c.tan.qmsg
并串转换/db/wed.wsf
并串转换/incremental_db/compiled_partitions/b_c.root_partition.cmp.atm
并串转换/incremental_db/compiled_partitions/b_c.root_partition.cmp.cfm
并串转换/incremental_db/compiled_partitions/b_c.root_partition.cmp.dfp
并串转换/incremental_db/compiled_partitions/b_c.root_partition.cmp.hdbx
并串转换/incremental_db/compiled_partitions/b_c.root_partition.cmp.kpt
并串转换/incremental_db/compiled_partitions/b_c.root_partition.cmp.logdb
并串转换/incremental_db/compiled_partitions/b_c.root_partition.cmp.rcf
并串转换/incremental_db/compiled_partitions/b_c.root_partition.map.atm
并串转换/incremental_db/compiled_partitions/b_c.root_partition.map.dpi
并串转换/incremental_db/compiled_partitions/b_c.root_partition.map.hdbx
并串转换/incremental_db/compiled_partitions/b_c.root_partition.map.kpt
并串转换/incremental_db/README
并串转换/incremental_db/compiled_partitions
并串转换/db
并串转换/incremental_db
并串转换
本网站为编程资源及源代码搜集、介绍的搜索网站,版权归原作者所有! 粤ICP备11031372号
1999-2046 搜珍网 All Rights Reserved.