CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:VHDL

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2013-06-10
  • 文件大小:
    67.25kb
  • 已下载:
    2次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

virtex-5 库声明代码 VHDL版本 完整的原语示例代码-virtex-5 library declaration versions of the complete VHDL code sample code primitives
(系统自动生成,下载前可以参看下载内容)

下载文件列表

VHDL/
VHDL/ADDSUB_MACRO.vho
VHDL/BRAM_SDP_MACRO.vho
VHDL/BRAM_SINGLE_MACRO.vho
VHDL/BRAM_TDP_MACRO.vho
VHDL/BSCAN_VIRTEX5.vho
VHDL/BUFCF.vho
VHDL/BUFG.vho
VHDL/BUFGCE.vho
VHDL/BUFGCE_1.vho
VHDL/BUFGCTRL.vho
VHDL/BUFGMUX_CTRL.vho
VHDL/BUFIO.vho
VHDL/BUFR.vho
VHDL/CAPTURE_VIRTEX5.vho
VHDL/CARRY4.vho
VHDL/CFGLUT5.vho
VHDL/COUNTER_LOAD_MACRO.vho
VHDL/COUNTER_TC_MACRO.vho
VHDL/DCIRESET.vho
VHDL/DCM_ADV.vho
VHDL/DCM_BASE.vho
VHDL/DCM_PS.vho
VHDL/DSP48E.vho
VHDL/EQ_COMPARE_MACRO.vho
VHDL/FDCE.vho
VHDL/FDCPE.vho
VHDL/FDPE.vho
VHDL/FDRE.vho
VHDL/FDRSE.vho
VHDL/FDSE.vho
VHDL/FIFO18.vho
VHDL/FIFO18_36.vho
VHDL/FIFO36.vho
VHDL/FIFO36_72.vho
VHDL/FIFO_DUALCLOCK_MACRO.vho
VHDL/FIFO_SYNC_MACRO.vho
VHDL/FRAME_ECC_VIRTEX5.vho
VHDL/IBUF.vho
VHDL/IBUFDS.vho
VHDL/IBUFG.vho
VHDL/IBUFGDS.vho
VHDL/ICAP_VIRTEX5.vho
VHDL/IDDR.vho
VHDL/IDDR_2CLK.vho
VHDL/IDELAYCTRL.vho
VHDL/IOBUF.vho
VHDL/IOBUFDS.vho
VHDL/IODELAY.vho
VHDL/ISERDES.vho
VHDL/ISERDES_NODELAY.vho
VHDL/JTAG_SIM_VIRTEX5.vho
VHDL/KEEPER.vho
VHDL/KEY_CLEAR.vho
VHDL/LDCE.vho
VHDL/LDCPE.vho
VHDL/LDPE.vho
VHDL/LUT1.vho
VHDL/LUT1_D.vho
VHDL/LUT1_L.vho
VHDL/LUT2.vho
VHDL/LUT2_D.vho
VHDL/LUT2_L.vho
VHDL/LUT3.vho
VHDL/LUT3_D.vho
VHDL/LUT3_L.vho
VHDL/LUT4.vho
VHDL/LUT4_D.vho
VHDL/LUT4_L.vho
VHDL/LUT5.vho
VHDL/LUT5_D.vho
VHDL/LUT5_L.vho
VHDL/LUT6.vho
VHDL/LUT6_2.vho
VHDL/LUT6_D.vho
VHDL/LUT6_L.vho
VHDL/MACC_MACRO.vho
VHDL/MULT_MACRO.vho
VHDL/MUXF7.vho
VHDL/MUXF7_D.vho
VHDL/MUXF7_L.vho
VHDL/MUXF8.vho
VHDL/MUXF8_D.vho
VHDL/MUXF8_L.vho
VHDL/OBUF.vho
VHDL/OBUFDS.vho
VHDL/OBUFT.vho
VHDL/OBUFTDS.vho
VHDL/ODDR.vho
VHDL/OSERDES.vho
VHDL/PLL_ADV.vho
VHDL/PLL_BASE.vho
VHDL/PULLDOWN.vho
VHDL/PULLUP.vho
VHDL/RAM128X1D.vho
VHDL/RAM128X1S.vho
VHDL/RAM128X1S_1.vho
VHDL/RAM256X1S.vho
VHDL/RAM32M.vho
VHDL/RAM32X1D.vho
VHDL/RAM32X1D_1.vho
VHDL/RAM32X1S.vho
VHDL/RAM32X1S_1.vho
VHDL/RAM32X2S.vho
VHDL/RAM64M.vho
VHDL/RAM64X1D.vho
VHDL/RAM64X1S.vho
VHDL/RAM64X1S_1.vho
VHDL/RAMB18.vho
VHDL/RAMB18SDP.vho
VHDL/RAMB36.vho
VHDL/RAMB36SDP.vho
VHDL/ROM128X1.vho
VHDL/ROM256X1.vho
VHDL/ROM32X1.vho
VHDL/ROM64X1.vho
VHDL/SIM_CONFIG_V5.vho
VHDL/SRL16E.vho
VHDL/SRLC32E.vho
VHDL/STARTUP_VIRTEX5.vho
VHDL/SYSMON.vho
VHDL/USR_ACCESS_VIRTEX5.vho
VHDL/transcript

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com