CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程

文件名称:AlteraFPGA_CPLDdesignbasic

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2008-10-13
  • 文件大小:
    4.1mb
  • 已下载:
    1次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

一本老师推荐的经典的VHDL覆盖基础的入门书籍,内容翔实充分
(系统自动生成,下载前可以参看下载内容)

下载文件列表

光盘使用说明.doc
Example-b8-3/示例说明.doc
Example-b8-1/示例说明.doc
Example-b8-2/示例说明.doc
Example-b8-4/示例说明.doc
Example-b8-5/示例说明.doc
Example-b8-6/示例说明.doc
Example-b4-2/示例说明.doc
Example-b4-1/示例说明.doc
Example-b3-1/示例说明.doc
Example-b8-1/Altera_lib_files/220model.txt
Example-b8-2/Altera_lib_files/220model.txt
Example-b8-1/Altera_lib_files/altera_mf.txt
Example-b8-2/Altera_lib_files/altera_mf.txt
Example-b8-1/source/dpram8x32_waveforms.html
Example-b8-2/source/dpram8x32_waveforms.html
Example-b4-2/Solution/IP_ENC/ENC.html
Example-b3-1/uart_regs/core/myfifo_10_waveforms.html
Example-b3-1/uart_regs/core/myfifo_8_waveforms.html
Example-b8-6/Synplify_Pro/rev_2/stderr.log
Example-b8-6/Synplify_Pro/rev_3/stderr.log
Example-b8-6/Synplify_Pro/rev_2/stdout.log
Example-b8-6/Synplify_Pro/rev_3/stdout.log
Example-b3-1/uart_regs/dev/cmp_state.ini
Example-b8-1/pll_ram/cmp_state.ini
Example-b8-2/pll_ram/cmp_state.ini
Example-b8-1/timing_sim/work/exp/verilog.asm
Example-b8-2/timing_sim/work/exp/verilog.asm
Example-b8-1/timing_sim/work/carry/verilog.asm
Example-b8-1/timing_sim/work/cascade/verilog.asm
Example-b8-1/timing_sim/work/global/verilog.asm
Example-b8-2/timing_sim/work/carry/verilog.asm
Example-b8-2/timing_sim/work/cascade/verilog.asm
Example-b8-2/timing_sim/work/global/verilog.asm
Example-b8-1/timing_sim/work/lcell/verilog.asm
Example-b8-2/timing_sim/work/lcell/verilog.asm
Example-b8-1/timing_sim/work/@p@r@i@m_@d@f@f@e/verilog.asm
Example-b8-2/timing_sim/work/@p@r@i@m_@d@f@f@e/verilog.asm
Example-b8-1/timing_sim/work/carry_sum/verilog.asm
Example-b8-2/timing_sim/work/carry_sum/verilog.asm
Example-b8-1/timing_sim/work/and1/verilog.asm
Example-b8-2/timing_sim/work/and1/verilog.asm
Example-b8-1/timing_sim/work/io_buf_opdrn/verilog.asm
Example-b8-2/timing_sim/work/io_buf_opdrn/verilog.asm
Example-b8-1/timing_sim/work/io_buf_tri/verilog.asm
Example-b8-2/timing_sim/work/io_buf_tri/verilog.asm
Example-b8-3/work/counter/verilog.asm
Example-b8-1/timing_sim/work/nmux21/verilog.asm
Example-b8-1/timing_sim/work/b5mux21/verilog.asm
Example-b8-1/timing_sim/work/bmux21/verilog.asm
Example-b8-2/timing_sim/work/b5mux21/verilog.asm
Example-b8-2/timing_sim/work/bmux21/verilog.asm
Example-b8-1/timing_sim/work/b17mux21/verilog.asm
Example-b8-2/timing_sim/work/b17mux21/verilog.asm
Example-b8-1/timing_sim/work/stratix_crcblock/verilog.asm
Example-b8-1/timing_sim/work/hcstratix_crcblock/verilog.asm
Example-b8-2/timing_sim/work/hcstratix_crcblock/verilog.asm
Example-b8-1/timing_sim/work/dffp/verilog.asm
Example-b8-2/timing_sim/work/dffp/verilog.asm
Example-b8-1/timing_sim/work/pll_reg/verilog.asm
Example-b8-1/timing_sim/work/@m@f_pll_reg/verilog.asm
Example-b8-2/timing_sim/work/@m@f_pll_reg/verilog.asm
Example-b8-1/timing_sim/work/lpm_constant/verilog.asm
Example-b8-2/timing_sim/work/lpm_constant/verilog.asm
Example-b8-1/timing_sim/work/hcstratix_ram_clear/verilog.asm
Example-b8-1/timing_sim/work/stratix_ram_clear/verilog.asm
Example-b8-2/timing_sim/work/hcstratix_ram_clear/verilog.asm
Example-b8-5/demo_project/work/test_counter/verilog.asm
Example-b8-1/timing_sim/work/lpm_outpad/verilog.asm
Example-b8-1/timing_sim/work/lpm_inpad/verilog.asm
Example-b8-1/timing_sim/work/lpm_inv/verilog.asm
Example-b8-1/timing_sim/work/oper_decoder/verilog.asm
Example-b8-1/timing_sim/work/and16/verilog.asm
Example-b8-2/timing_sim/work/and16/verilog.asm
Example-b8-1/timing_sim/work/mux21/verilog.asm
Example-b8-1/timing_sim/work/stratix_jtag/verilog.asm
Example-b8-1/timing_sim/work/hcstratix_jtag/verilog.asm
Example-b8-2/timing_sim/work/hcstratix_jtag/verilog.asm
Example-b8-1/timing_sim/work/arm_n_cntr/verilog.asm
Example-b8-2/timing_sim/work/arm_n_cntr/verilog.asm
Example-b8-1/timing_sim/work/oper_mux/verilog.asm
Example-b8-5/demo_project/work/counter/verilog.asm
Example-b8-1/timing_sim/work/n_cntr/verilog.asm
Example-b8-1/timing_sim/work/m_cntr/verilog.asm
Example-b8-1/timing_sim/work/stx_n_cntr/verilog.asm
Example-b8-1/timing_sim/work/lpm_bipad/verilog.asm
Example-b8-2/timing_sim/work/lpm_bipad/verilog.asm
Example-b8-1/timing_sim/work/arm_m_cntr/verilog.asm
Example-b8-2/timing_sim/work/arm_m_cntr/verilog.asm
Example-b8-1/func_sim/work/pll_ram/verilog.asm
Example-b8-2/func_sim/work/pll_ram/verilog.asm
Example-b8-1/timing_sim/work/latch/verilog.asm
Example-b8-2/timing_sim/work/latch/verilog.asm
Example-b8-1/timing_sim/work/stx_m_cntr/verilog.asm
Example-b8-1/timing_sim/work/mux41/verilog.asm
Example-b8-1/timing_sim/work/lpm_abs/verilog.asm
Example-b8-2/timing_sim/work/lpm_abs/verilog.asm
Example-b8-1/timing_sim/work/oper_selector/verilog.asm
Example-b8-1/timing_sim/work/tri_bus/verilog.asm
Example-b8-1/timing_sim/work/a_graycounter/verilog.asm
Example-b8-2/timing_sim/work/a_graycounter/verilog.asm
Example-b8-1/func_sim/work/dpram8x32/verilog.asm
Example-b8-2/func_sim/work/dpram8x32/verilog.asm
Example-b8-1/timing_sim/work/dffe/verilog.asm
Example-b8-2/timing_sim/work/dffe/verilog.asm
Example-b8-1/func_sim/work/pll_ram_tb/verilog.asm
Example-b8-1/timing_sim/work/pll_ram_tb/verilog.asm
Example-b8-2/func_sim/work/pll_ram_tb/verilog.asm
Example-b8-1/timing_sim/work/oper_mult/verilog.asm
Example-b

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com