CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程

文件名称:VHDL_Starter_Examples

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2013-10-31
  • 文件大小:
    3.22mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

河北大学-VHDL实验课程相关的所有VHDL源代码,含具体的工程文件和原始课件,直接可以在Quartus II打开进行仿真-Hebei University-VHDL experiments related to the course all VHDL source code, including the specific project files and original software, you can directly open the Quartus II simulation
(系统自动生成,下载前可以参看下载内容)

下载文件列表

2-1/
2-1/.sopc_builder/
2-1/.sopc_builder/filters.xml
2-1/db/
2-1/db/half.(0).cnf.cdb
2-1/db/half.(0).cnf.hdb
2-1/db/half.asm.qmsg
2-1/db/half.cbx.xml
2-1/db/half.cmp.cdb
2-1/db/half.cmp.hdb
2-1/db/half.cmp.logdb
2-1/db/half.cmp.rdb
2-1/db/half.cmp.tdb
2-1/db/half.cmp0.ddb
2-1/db/half.db_info
2-1/db/half.eco.cdb
2-1/db/half.eds_overflow
2-1/db/half.fit.qmsg
2-1/db/half.fnsim.cdb
2-1/db/half.fnsim.hdb
2-1/db/half.fnsim.qmsg
2-1/db/half.hier_info
2-1/db/half.hif
2-1/db/half.lpc.html
2-1/db/half.lpc.rdb
2-1/db/half.lpc.txt
2-1/db/half.map.cdb
2-1/db/half.map.hdb
2-1/db/half.map.logdb
2-1/db/half.map.qmsg
2-1/db/half.pre_map.cdb
2-1/db/half.pre_map.hdb
2-1/db/half.rtlv.hdb
2-1/db/half.rtlv_sg.cdb
2-1/db/half.rtlv_sg_swap.cdb
2-1/db/half.sgdiff.cdb
2-1/db/half.sgdiff.hdb
2-1/db/half.sim.hdb
2-1/db/half.sim.qmsg
2-1/db/half.sim.rdb
2-1/db/half.simfam
2-1/db/half.sim_ori.vwf
2-1/db/half.sld_design_entry.sci
2-1/db/half.sld_design_entry_dsc.sci
2-1/db/half.syn_hier_info
2-1/db/half.tan.qmsg
2-1/db/half.tis_db_list.ddb
2-1/db/half.tmw_info
2-1/db/prev_cmp_half.asm.qmsg
2-1/db/prev_cmp_half.fit.qmsg
2-1/db/prev_cmp_half.map.qmsg
2-1/db/prev_cmp_half.qmsg
2-1/db/prev_cmp_half.sim.qmsg
2-1/db/prev_cmp_half.tan.qmsg
2-1/db/wed.wsf
2-1/half.asm.rpt
2-1/half.done
2-1/half.fit.rpt
2-1/half.fit.summary
2-1/half.flow.rpt
2-1/half.map.rpt
2-1/half.map.summary
2-1/half.pin
2-1/half.pof
2-1/half.qpf
2-1/half.qsf
2-1/half.qws
2-1/half.sim.rpt
2-1/half.sof
2-1/half.tan.rpt
2-1/half.tan.summary
2-1/half.vhd
2-1/half.vhd.bak
2-1/half.vwf
2-1/incremental_db/
2-1/incremental_db/compiled_partitions/
2-1/incremental_db/compiled_partitions/half.root_partition.map.kpt
2-1/incremental_db/README
2-1/sopc_builder_log.txt
2-2/
2-2/add.asm.rpt
2-2/add.done
2-2/add.fit.rpt
2-2/add.fit.summary
2-2/add.flow.rpt
2-2/add.map.rpt
2-2/add.map.summary
2-2/add.pin
2-2/add.pof
2-2/add.qpf
2-2/add.qsf
2-2/add.qws
2-2/add.sim.rpt
2-2/add.sof
2-2/add.tan.rpt
2-2/add.tan.summary
2-2/add.vhd
2-2/add.vhd.bak
2-2/add.vwf
2-2/db/
2-2/db/add.(0).cnf.cdb
2-2/db/add.(0).cnf.hdb
2-2/db/add.(1).cnf.cdb
2-2/db/add.(1).cnf.hdb
2-2/db/add.(2).cnf.cdb
2-2/db/add.(2).cnf.hdb
2-2/db/add.(3).cnf.cdb
2-2/db/add.(3).cnf.hdb
2-2/db/add.(4).cnf.cdb
2-2/db/add.(4).cnf.hdb
2-2/db/add.(5).cnf.cdb
2-2/db/add.(5).cnf.hdb
2-2/db/add.(6).cnf.cdb
2-2/db/add.(6).cnf.hdb
2-2/db/add.(7).cnf.cdb
2-2/db/add.(7).cnf.hdb
2-2/db/add.asm.qmsg
2-2/db/add.cbx.xml
2-2/db/add.cmp.cdb
2-2/db/add.cmp.hdb
2-2/db/add.cmp.logdb
2-2/db/add.cmp.rdb
2-2/db/add.cmp.tdb
2-2/db/add.cmp0.ddb
2-2/db/add.db_info
2-2/db/add.eco.cdb
2-2/db/add.eds_overflow
2-2/db/add.fit.qmsg
2-2/db/add.fnsim.cdb
2-2/db/add.fnsim.hdb
2-2/db/add.fnsim.qmsg
2-2/db/add.hier_info
2-2/db/add.hif
2-2/db/add.lpc.html
2-2/db/add.lpc.rdb
2-2/db/add.lpc.txt
2-2/db/add.map.cdb
2-2/db/add.map.hdb
2-2/db/add.map.logdb
2-2/db/add.map.qmsg
2-2/db/add.pre_map.cdb
2-2/db/add.pre_map.hdb
2-2/db/add.rtlv.hdb
2-2/db/add.rtlv_sg.cdb
2-2/db/add.rtlv_sg_swap.cdb
2-2/db/add.sgdiff.cdb
2-2/db/add.sgdiff.hdb
2-2/db/add.sim.hdb
2-2/db/add.sim.qmsg
2-2/db/add.sim.rdb
2-2/db/add.simfam
2-2/db/add.sim_ori.vwf
2-2/db/add.sld_design_entry.sci
2-2/db/add.sld_design_entry_dsc.sci
2-2/db/add.syn_hier_info
2-2/db/add.tan.qmsg
2-2/db/add.tis_db_list.ddb
2-2/db/add.tmw_info
2-2/db/add_sub_ojh.tdf
2-2/db/prev_cmp_add.asm.qmsg
2-2/db/prev_cmp_add.fit.qmsg
2-2/db/prev_cmp_add.map.qmsg
2-2/db/prev_cmp_add.qmsg
2-2/db/prev_cmp_add.sim.qmsg
2-2/db/prev_cmp_add.tan.qmsg
2-2/db/wed.wsf
2-2/incremental_db/
2-2/incremental_db/compiled_partitions/
2-2/incremental_db/compiled_partitions/add.root_partition.map.kpt
2-2/incremental_db/README
3-1/
3-1-my/
3-1-my/db/
3-1-my/db/prev_cmp_yiwei.map.qmsg
3-1-my/db/prev_cmp_yiwei.qmsg
3-1-my/db/yiwei.(0).cnf.cdb
3-1-my/db/yiwei.(0).cnf.hdb
3-1-my/db/yiwei.asm.qmsg
3-1-my/db/yiwei.cbx.xml
3-1-my/db/yiwei.cmp.cdb
3-1-my/db/yiwei.cmp.hdb
3-1-my/db/yiwei.cmp.logdb
3-1-my/db/yiwei.cmp.rdb
3-1-my/db/yiwei.cmp.tdb
3-1-my/db/yiwei.cmp0.ddb
3-1-my/db/yiwei.db_info
3-1-my/db/yiwei.eco.cdb
3-1-my/db/yiwei.fit.qmsg
3-1-my/db/yiwei.hier_info
3-1-my/db/yiwei.hif
3-1-my/db/yiwei.lpc.html
3-1-my/db/yiwei.lpc.rdb
3-1-my/db/yiwei.lpc.txt
3-1-my/db/yiwei.map.cdb
3-1-my/db/yiwei.map.hdb
3-1-my/db/yiwei.map.logdb
3-1-my/db/yiwei.map.qmsg
3-1-my/db/yiwei.pre_map.cdb
3-1-my/db/yiwei.pre_map.hdb
3-1-my/db/yiwei.rtlv.hdb
3-1-my/db/yiwei.rtlv_sg.cdb
3-1-my/db/yiwei.rtlv_sg_swap.cdb
3-1-my/db/yiwei.sgdiff.cdb
3-1-my/db/yiwei.sgdiff.hdb
3-1-my/db/yiwei.sld_design_entry.sci
3-1-my/db/yiwei.sld_design_entry_dsc.sci
3-1-my/db/yiwei.syn_hier_info
3-1-my/db/yiwei.tan.qmsg
3-1-my/db/yiwei.tis_db_list.ddb
3-1-my/incremental_db/
3-1-my/incremental_db/compiled_partitions/
3-1-my/incremental_db/compiled_partitions/yiwei.root_partition.map.kpt
3-1-my/incremental_db/README
3-1-my/yiwei.asm.rpt
3-1-my/yiwei.done
3-1-my/yiwei.fit.rpt
3-1-my/yiwei.fit.summary
3-1-my/yiwei.flow.rpt
3-1-my/yiwei.map.rpt
3-1-my/yiwei.map.summary
3-1-my/yiwei.pin
3-1-my/yiwei.pof
3-1-my/yiwei.qpf
3-1-my/yiwei.qsf
3-1-my/yiwei.sof
3-1-my/yiwei.tan.rpt
3-1-my/yiwei.tan.summary
3-1-my/yiwei.vhd
3-1-my/yiwei.vhd.bak
3-1/d

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com