CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:ADD

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2014-03-11
  • 文件大小:
    1.09mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

含异步清零和同步时钟使能的4位加法计数器的设计-Synchronization with asynchronous clear and clock enable the addition of four counter design
(系统自动生成,下载前可以参看下载内容)

下载文件列表

ADD/ADD.asm.rpt
ADD/ADD.done
ADD/ADD.dpf
ADD/ADD.fit.rpt
ADD/ADD.fit.smsg
ADD/ADD.fit.summary
ADD/ADD.flow.rpt
ADD/ADD.jdi
ADD/ADD.map.rpt
ADD/ADD.map.summary
ADD/ADD.mod
ADD/ADD.pin
ADD/ADD.pof
ADD/ADD.qpf
ADD/ADD.qsf
ADD/ADD.qws
ADD/ADD.sim.rpt
ADD/ADD.sof
ADD/add.stp
ADD/ADD.tan.rpt
ADD/ADD.tan.summary
ADD/ADD.vhd
ADD/ADD.vwf
ADD/db/ADD.(0).cnf.cdb
ADD/db/ADD.(0).cnf.hdb
ADD/db/ADD.(1).cnf.cdb
ADD/db/ADD.(1).cnf.hdb
ADD/db/ADD.(10).cnf.cdb
ADD/db/ADD.(10).cnf.hdb
ADD/db/ADD.(11).cnf.cdb
ADD/db/ADD.(11).cnf.hdb
ADD/db/ADD.(12).cnf.cdb
ADD/db/ADD.(12).cnf.hdb
ADD/db/ADD.(13).cnf.cdb
ADD/db/ADD.(13).cnf.hdb
ADD/db/ADD.(14).cnf.cdb
ADD/db/ADD.(14).cnf.hdb
ADD/db/ADD.(15).cnf.cdb
ADD/db/ADD.(15).cnf.hdb
ADD/db/ADD.(16).cnf.cdb
ADD/db/ADD.(16).cnf.hdb
ADD/db/ADD.(17).cnf.cdb
ADD/db/ADD.(17).cnf.hdb
ADD/db/ADD.(18).cnf.cdb
ADD/db/ADD.(18).cnf.hdb
ADD/db/ADD.(19).cnf.cdb
ADD/db/ADD.(19).cnf.hdb
ADD/db/ADD.(2).cnf.cdb
ADD/db/ADD.(2).cnf.hdb
ADD/db/ADD.(20).cnf.cdb
ADD/db/ADD.(20).cnf.hdb
ADD/db/ADD.(21).cnf.cdb
ADD/db/ADD.(21).cnf.hdb
ADD/db/ADD.(22).cnf.cdb
ADD/db/ADD.(22).cnf.hdb
ADD/db/ADD.(23).cnf.cdb
ADD/db/ADD.(23).cnf.hdb
ADD/db/ADD.(24).cnf.cdb
ADD/db/ADD.(24).cnf.hdb
ADD/db/ADD.(25).cnf.cdb
ADD/db/ADD.(25).cnf.hdb
ADD/db/ADD.(26).cnf.cdb
ADD/db/ADD.(26).cnf.hdb
ADD/db/ADD.(27).cnf.cdb
ADD/db/ADD.(27).cnf.hdb
ADD/db/ADD.(28).cnf.cdb
ADD/db/ADD.(28).cnf.hdb
ADD/db/ADD.(29).cnf.cdb
ADD/db/ADD.(29).cnf.hdb
ADD/db/ADD.(3).cnf.cdb
ADD/db/ADD.(3).cnf.hdb
ADD/db/ADD.(30).cnf.cdb
ADD/db/ADD.(30).cnf.hdb
ADD/db/ADD.(31).cnf.cdb
ADD/db/ADD.(31).cnf.hdb
ADD/db/ADD.(32).cnf.cdb
ADD/db/ADD.(32).cnf.hdb
ADD/db/ADD.(33).cnf.cdb
ADD/db/ADD.(33).cnf.hdb
ADD/db/ADD.(34).cnf.cdb
ADD/db/ADD.(34).cnf.hdb
ADD/db/ADD.(35).cnf.cdb
ADD/db/ADD.(35).cnf.hdb
ADD/db/ADD.(36).cnf.cdb
ADD/db/ADD.(36).cnf.hdb
ADD/db/ADD.(37).cnf.cdb
ADD/db/ADD.(37).cnf.hdb
ADD/db/ADD.(38).cnf.cdb
ADD/db/ADD.(38).cnf.hdb
ADD/db/ADD.(39).cnf.cdb
ADD/db/ADD.(39).cnf.hdb
ADD/db/ADD.(4).cnf.cdb
ADD/db/ADD.(4).cnf.hdb
ADD/db/ADD.(40).cnf.cdb
ADD/db/ADD.(40).cnf.hdb
ADD/db/ADD.(41).cnf.cdb
ADD/db/ADD.(41).cnf.hdb
ADD/db/ADD.(42).cnf.cdb
ADD/db/ADD.(42).cnf.hdb
ADD/db/ADD.(43).cnf.cdb
ADD/db/ADD.(43).cnf.hdb
ADD/db/ADD.(5).cnf.cdb
ADD/db/ADD.(5).cnf.hdb
ADD/db/ADD.(6).cnf.cdb
ADD/db/ADD.(6).cnf.hdb
ADD/db/ADD.(7).cnf.cdb
ADD/db/ADD.(7).cnf.hdb
ADD/db/ADD.(8).cnf.cdb
ADD/db/ADD.(8).cnf.hdb
ADD/db/ADD.(9).cnf.cdb
ADD/db/ADD.(9).cnf.hdb
ADD/db/ADD.add_b37a41a4b2a3c8951df2f967c2b0f1.cmp.atm
ADD/db/ADD.add_b37a41a4b2a3c8951df2f967c2b0f1.cmp.logdb
ADD/db/ADD.add_b37a41a4b2a3c8951df2f967c2b0f1.map.atm
ADD/db/ADD.add_b37a41a4b2a3c8951df2f967c2b0f1.map.logdb
ADD/db/ADD.asm.qmsg
ADD/db/ADD.cbx.xml
ADD/db/ADD.cmp.bpm
ADD/db/ADD.cmp.cdb
ADD/db/ADD.cmp.ecobp
ADD/db/ADD.cmp.hdb
ADD/db/ADD.cmp.logdb
ADD/db/ADD.cmp.rdb
ADD/db/ADD.cmp.tdb
ADD/db/ADD.cmp0.ddb
ADD/db/ADD.cmp_bb.cdb
ADD/db/ADD.cmp_bb.hdb
ADD/db/ADD.cmp_bb.logdb
ADD/db/ADD.cmp_bb.rcf
ADD/db/ADD.dbp
ADD/db/ADD.db_info
ADD/db/ADD.eco.cdb
ADD/db/ADD.eds_overflow
ADD/db/ADD.fit.qmsg
ADD/db/ADD.fnsim.hdb
ADD/db/ADD.fnsim.qmsg
ADD/db/ADD.hier_info
ADD/db/ADD.hif
ADD/db/ADD.map.bpm
ADD/db/ADD.map.cdb
ADD/db/ADD.map.ecobp
ADD/db/ADD.map.hdb
ADD/db/ADD.map.logdb
ADD/db/ADD.map.qmsg
ADD/db/ADD.map_bb.cdb
ADD/db/ADD.map_bb.hdb
ADD/db/ADD.map_bb.logdb
ADD/db/ADD.pre_map.cdb
ADD/db/ADD.pre_map.hdb
ADD/db/ADD.psp
ADD/db/ADD.pss
ADD/db/ADD.rtlv.hdb
ADD/db/ADD.rtlv_sg.cdb
ADD/db/ADD.rtlv_sg_swap.cdb
ADD/db/ADD.sgdiff.cdb
ADD/db/ADD.sgdiff.hdb
ADD/db/ADD.signalprobe.cdb
ADD/db/ADD.sim.hdb
ADD/db/ADD.sim.qmsg
ADD/db/ADD.sim.rdb
ADD/db/ADD.simfam
ADD/db/ADD.sim_ori.vwf
ADD/db/ADD.sldhu_30e344a040fd07e1533c49de5f2d67d1.cmp.atm
ADD/db/ADD.sldhu_30e344a040fd07e1533c49de5f2d67d1.cmp.logdb
ADD/db/ADD.sldhu_30e344a040fd07e1533c49de5f2d67d1.map.atm
ADD/db/ADD.sldhu_30e344a040fd07e1533c49de5f2d67d1.map.logdb
ADD/db/ADD.sld_design_entry.sci
ADD/db/ADD.sld_design_entry_dsc.sci
ADD/db/ADD.smp_dump.txt
ADD/db/ADD.syn_hier_info
ADD/db/ADD.tan.qmsg
ADD/db/ADD.tis_db_list.ddb
ADD/db/add_sub_4rh.tdf
ADD/db/altsyncram_4qo3.tdf
ADD/db/cntr_72i.tdf
ADD/db/cntr_cmi.tdf
ADD/db/cntr_o3i.tdf
ADD/db/cntr_spi.tdf
ADD/db/decode_9jf.tdf
ADD/db/decode_ogi.tdf
ADD/db/mux_ogc.tdf
ADD/db/prev_cmp_ADD.asm.qmsg
ADD/db/prev_cmp_ADD.fit.qmsg
ADD/db/prev_cmp_ADD.map.qmsg
ADD/db/prev_cmp_ADD.qmsg
ADD/db/prev_cmp_ADD.sim.qmsg
ADD/db/prev_cmp_ADD.tan.qmsg
ADD/db/wed.wsf
ADD/db
ADD

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com