CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 软件工程

文件名称:DCNT60

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2014-03-17
  • 文件大小:
    4.01mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

60进制计数器设计仿真文件,已经经过仿真,程序及仿真结果无误。-60 binary counter design simulation files, has been the simulation program and simulation results are correct.
(系统自动生成,下载前可以参看下载内容)

下载文件列表

DCNT60.done
DCNT60.fit.rpt
DCNT60.fit.smsg
DCNT60.fit.summary
DCNT60.flow.rpt
DCNT60.map.rpt
DCNT60.map.summary
DCNT60.pin
DCNT60.qpf
DCNT60.qsf
DCNT60.qws
DCNT60.sim.rpt
DCNT60.sof
DCNT60.sta.rpt
DCNT60.sta.summary
DCNT60.vhd
DCNT60.vhd.bak
DCNT60.vwf
DCNT60_nativelink_simulation.rpt
db/DCNT60.(0).cnf.cdb
db/DCNT60.(0).cnf.hdb
db/DCNT60.asm.qmsg
db/DCNT60.asm_labs.ddb
db/DCNT60.cbx.xml
db/DCNT60.cmp.bpm
db/DCNT60.cmp.cdb
db/DCNT60.cmp.ecobp
db/DCNT60.cmp.hdb
db/DCNT60.cmp.kpt
db/DCNT60.cmp.logdb
db/DCNT60.cmp.rdb
db/DCNT60.cmp_merge.kpt
db/DCNT60.cuda_io_sim_cache.45um_ff_1200mv_0c_fast.hsd
db/DCNT60.cuda_io_sim_cache.45um_ss_1200mv_85c_slow.hsd
db/DCNT60.db_info
db/DCNT60.eco.cdb
db/DCNT60.eds_overflow
db/DCNT60.fit.qmsg
db/DCNT60.fnsim.cdb
db/DCNT60.fnsim.hdb
db/DCNT60.fnsim.qmsg
db/DCNT60.hier_info
db/DCNT60.hif
db/DCNT60.lpc.html
db/DCNT60.lpc.rdb
db/DCNT60.lpc.txt
db/DCNT60.map.bpm
db/DCNT60.map.cdb
db/DCNT60.map.ecobp
db/DCNT60.map.hdb
db/DCNT60.map.kpt
db/DCNT60.map.logdb
db/DCNT60.map.qmsg
db/DCNT60.map_bb.cdb
db/DCNT60.map_bb.hdb
db/DCNT60.map_bb.logdb
db/DCNT60.pre_map.cdb
db/DCNT60.pre_map.hdb
db/DCNT60.rtlv.hdb
db/DCNT60.rtlv_sg.cdb
db/DCNT60.rtlv_sg_swap.cdb
db/DCNT60.sgdiff.cdb
db/DCNT60.sgdiff.hdb
db/DCNT60.sim.cvwf
db/DCNT60.sim.hdb
db/DCNT60.sim.qmsg
db/DCNT60.sim.rdb
db/DCNT60.simfam
db/DCNT60.sld_design_entry.sci
db/DCNT60.sld_design_entry_dsc.sci
db/DCNT60.sta.qmsg
db/DCNT60.sta.rdb
db/DCNT60.sta_cmp.8_slow_1200mv_85c.tdb
db/DCNT60.syn_hier_info
db/DCNT60.tiscmp.fastest_slow_1200mv_0c.ddb
db/DCNT60.tiscmp.fastest_slow_1200mv_85c.ddb
db/DCNT60.tiscmp.fast_1200mv_0c.ddb
db/DCNT60.tiscmp.slow_1200mv_0c.ddb
db/DCNT60.tiscmp.slow_1200mv_85c.ddb
db/DCNT60.tis_db_list.ddb
db/DCNT60_global_asgn_op.abo
db/prev_cmp_DCNT60.asm.qmsg
db/prev_cmp_DCNT60.fit.qmsg
db/prev_cmp_DCNT60.map.qmsg
db/prev_cmp_DCNT60.qmsg
db/prev_cmp_DCNT60.sim.qmsg
db/prev_cmp_DCNT60.sta.qmsg
db/wed.wsf
incremental_db/compiled_partitions/DCNT60.root_partition.cmp.atm
incremental_db/compiled_partitions/DCNT60.root_partition.cmp.dfp
incremental_db/compiled_partitions/DCNT60.root_partition.cmp.hdbx
incremental_db/compiled_partitions/DCNT60.root_partition.cmp.kpt
incremental_db/compiled_partitions/DCNT60.root_partition.cmp.logdb
incremental_db/compiled_partitions/DCNT60.root_partition.cmp.rcf
incremental_db/compiled_partitions/DCNT60.root_partition.map.atm
incremental_db/compiled_partitions/DCNT60.root_partition.map.dpi
incremental_db/compiled_partitions/DCNT60.root_partition.map.hdbx
incremental_db/compiled_partitions/DCNT60.root_partition.map.kpt
incremental_db/README
simulation/modelsim/DCNT60_run_msim_rtl_vhdl.do
simulation/modelsim/DCNT60_run_msim_rtl_vhdl.do.bak
simulation/modelsim/modelsim.ini
simulation/modelsim/msim_transcript
simulation/modelsim/rtl_work/@_opt/vopt5k9gdi
simulation/modelsim/rtl_work/@_opt/vopt8fvdkj
simulation/modelsim/rtl_work/@_opt/voptcngaqj
simulation/modelsim/rtl_work/@_opt/voptfgv79q
simulation/modelsim/rtl_work/@_opt/voptnyxxks
simulation/modelsim/rtl_work/@_opt/voptq4m0di
simulation/modelsim/rtl_work/@_opt/vopttkaxci
simulation/modelsim/rtl_work/@_opt/vopttwitts
simulation/modelsim/rtl_work/@_opt/voptxbaq5s
simulation/modelsim/rtl_work/@_opt/vopty40sci
simulation/modelsim/rtl_work/@_opt/_deps
simulation/modelsim/rtl_work/dcnt60/bhv.dat
simulation/modelsim/rtl_work/dcnt60/bhv.dbs
simulation/modelsim/rtl_work/dcnt60/_primary.dat
simulation/modelsim/rtl_work/dcnt60/_primary.dbs
simulation/modelsim/rtl_work/_info
simulation/modelsim/rtl_work/_vmake
simulation/modelsim/vhdl_libs/altera/altera_primitives_components/_primary.dat
simulation/modelsim/vhdl_libs/altera/altera_primitives_components/_primary.dbs
simulation/modelsim/vhdl_libs/altera/altera_syn_attributes/_primary.dat
simulation/modelsim/vhdl_libs/altera/altera_syn_attributes/_primary.dbs
simulation/modelsim/vhdl_libs/altera/alt_bidir_buf/behavior.dat
simulation/modelsim/vhdl_libs/altera/alt_bidir_buf/behavior.dbs
simulation/modelsim/vhdl_libs/altera/alt_bidir_buf/_primary.dat
simulation/modelsim/vhdl_libs/altera/alt_bidir_buf/_primary.dbs
simulation/modelsim/vhdl_libs/altera/alt_bidir_diff/behavior.dat
simulation/modelsim/vhdl_libs/altera/alt_bidir_diff/behavior.dbs
simulation/modelsim/vhdl_libs/altera/alt_bidir_diff/_primary.dat
simulation/modelsim/vhdl_libs/altera/alt_bidir_diff/_primary.dbs
simulation/modelsim/vhdl_libs/altera/alt_inbuf/behavior.dat
simulation/modelsim/vhdl_libs/altera/alt_inbuf/behavior.dbs
simulation/modelsim/vhdl_libs/altera/alt_inbuf/_primary.dat
simulation/modelsim/vhdl_libs/altera/alt_inbuf/_primary.dbs
simulation/modelsim/vhdl_libs/altera/alt_inbuf_diff/behavior.dat
simulation/modelsim/vhdl_libs/altera/alt_inbuf_diff/behavior.dbs
simulation/modelsim/vhdl_libs/altera/alt_inbuf_diff/_primary.dat
simulation/modelsim/vhdl_libs/altera/alt_inbuf_diff/_primary.dbs
simulation/modelsim/vhdl_libs/altera/alt_iobuf/behavior.dat
simulation/modelsim/vhdl_libs/altera/alt_iobuf/behavior.dbs
simulation/modelsim/vhdl_libs/altera/alt_iobuf/_primary.dat
simulation/modelsim/vhdl_libs/altera/alt_iobuf/_primary.dbs
simulation/modelsim/vhdl_libs/altera/alt

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com