CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:timing_sim

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2014-09-05
  • 文件大小:
    1.65mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

使用ModelSim对Altera设计进行时序仿真的简单操作步骤-Simple operation steps using the ModelSim timing simulation for Altera design
(系统自动生成,下载前可以参看下载内容)

下载文件列表

timing_sim/
timing_sim/work/
timing_sim/work/altcdr_rx/
timing_sim/work/altcdr_tx/
timing_sim/work/altcdr_tx/verilog.asm
timing_sim/work/altcdr_tx/_primary.dat
timing_sim/work/altcdr_tx/_primary.vhd
timing_sim/work/altclklock/
timing_sim/work/altclklock/verilog.asm
timing_sim/work/altclklock/_primary.dat
timing_sim/work/altclklock/_primary.vhd
timing_sim/work/altddio_bidir/
timing_sim/work/altddio_bidir/verilog.asm
timing_sim/work/altddio_bidir/_primary.dat
timing_sim/work/altddio_bidir/_primary.vhd
timing_sim/work/altddio_in/
timing_sim/work/altddio_in/verilog.asm
timing_sim/work/altddio_in/_primary.dat
timing_sim/work/altddio_in/_primary.vhd
timing_sim/work/altddio_out/
timing_sim/work/altddio_out/verilog.asm
timing_sim/work/altddio_out/_primary.dat
timing_sim/work/altddio_out/_primary.vhd
timing_sim/work/altdpram/
timing_sim/work/altdpram/verilog.asm
timing_sim/work/altdpram/_primary.dat
timing_sim/work/altdpram/_primary.vhd
timing_sim/work/altfp_mult/
timing_sim/work/altfp_mult/verilog.asm
timing_sim/work/altfp_mult/_primary.dat
timing_sim/work/altfp_mult/_primary.vhd
timing_sim/work/altlvds_rx/
timing_sim/work/altlvds_rx/verilog.asm
timing_sim/work/altlvds_rx/_primary.dat
timing_sim/work/altlvds_rx/_primary.vhd
timing_sim/work/altlvds_tx/
timing_sim/work/altlvds_tx/verilog.asm
timing_sim/work/altlvds_tx/_primary.dat
timing_sim/work/altlvds_tx/_primary.vhd
timing_sim/work/altmult_accum/
timing_sim/work/altmult_accum/verilog.asm
timing_sim/work/altmult_accum/_primary.dat
timing_sim/work/altmult_accum/_primary.vhd
timing_sim/work/altmult_add/
timing_sim/work/altmult_add/verilog.asm
timing_sim/work/altmult_add/_primary.dat
timing_sim/work/altmult_add/_primary.vhd
timing_sim/work/altpll/
timing_sim/work/altpll/verilog.asm
timing_sim/work/altpll/_primary.dat
timing_sim/work/altpll/_primary.vhd
timing_sim/work/altqpram/
timing_sim/work/altqpram/verilog.asm
timing_sim/work/altqpram/_primary.dat
timing_sim/work/altqpram/_primary.vhd
timing_sim/work/altshift_taps/
timing_sim/work/altshift_taps/verilog.asm
timing_sim/work/altshift_taps/_primary.dat
timing_sim/work/altshift_taps/_primary.vhd
timing_sim/work/altsqrt/
timing_sim/work/altsqrt/verilog.asm
timing_sim/work/altsqrt/_primary.dat
timing_sim/work/altsqrt/_primary.vhd
timing_sim/work/altsyncram/
timing_sim/work/altsyncram/verilog.asm
timing_sim/work/altsyncram/_primary.dat
timing_sim/work/altsyncram/_primary.vhd
timing_sim/work/alt_exc_dpram/
timing_sim/work/alt_exc_dpram/verilog.asm
timing_sim/work/alt_exc_dpram/_primary.dat
timing_sim/work/alt_exc_dpram/_primary.vhd
timing_sim/work/alt_exc_upcore/
timing_sim/work/alt_exc_upcore/verilog.asm
timing_sim/work/alt_exc_upcore/_primary.dat
timing_sim/work/alt_exc_upcore/_primary.vhd
timing_sim/work/and1/
timing_sim/work/and16/
timing_sim/work/and16/verilog.asm
timing_sim/work/and16/_primary.dat
timing_sim/work/and16/_primary.vhd
timing_sim/work/and1/verilog.asm
timing_sim/work/and1/_primary.dat
timing_sim/work/and1/_primary.vhd
timing_sim/work/arm_m_cntr/
timing_sim/work/arm_m_cntr/verilog.asm
timing_sim/work/arm_m_cntr/_primary.dat
timing_sim/work/arm_m_cntr/_primary.vhd
timing_sim/work/arm_n_cntr/
timing_sim/work/arm_n_cntr/verilog.asm
timing_sim/work/arm_n_cntr/_primary.dat
timing_sim/work/arm_n_cntr/_primary.vhd
timing_sim/work/arm_scale_cntr/
timing_sim/work/arm_scale_cntr/verilog.asm
timing_sim/work/arm_scale_cntr/_primary.dat
timing_sim/work/arm_scale_cntr/_primary.vhd
timing_sim/work/a_graycounter/
timing_sim/work/a_graycounter/verilog.asm
timing_sim/work/a_graycounter/_primary.dat
timing_sim/work/a_graycounter/_primary.vhd
timing_sim/work/b17mux21/
timing_sim/work/b17mux21/verilog.asm
timing_sim/work/b17mux21/_primary.dat
timing_sim/work/b17mux21/_primary.vhd
timing_sim/work/b5mux21/
timing_sim/work/b5mux21/verilog.asm
timing_sim/work/b5mux21/_primary.dat
timing_sim/work/b5mux21/_primary.vhd
timing_sim/work/bmux21/
timing_sim/work/bmux21/verilog.asm
timing_sim/work/bmux21/_primary.dat
timing_sim/work/bmux21/_primary.vhd
timing_sim/work/carry/
timing_sim/work/carry/verilog.asm
timing_sim/work/carry/_primary.dat
timing_sim/work/carry/_primary.vhd
timing_sim/work/carry_sum/
timing_sim/work/carry_sum/verilog.asm
timing_sim/work/carry_sum/_primary.dat
timing_sim/work/carry_sum/_primary.vhd
timing_sim/work/cascade/
timing_sim/work/cascade/verilog.asm
timing_sim/work/cascade/_primary.dat
timing_sim/work/cascade/_primary.vhd
timing_sim/work/dcfifo/
timing_sim/work/dcfifo/verilog.asm
timing_sim/work/dcfifo/_primary.dat
timing_sim/work/dcfifo/_primary.vhd
timing_sim/work/dcfifo_async/
timing_sim/work/dcfifo_async/verilog.asm
timing_sim/work/dcfifo_async/_primary.dat
timing_sim/work/dcfifo_async/_primary.vhd
timing_sim/work/dcfifo_dffpipe/
timing_sim/work/dcfifo_dffpipe/verilog.asm
timing_sim/work/dcfifo_dffpipe/_primary.dat
timing_sim/work/dcfifo_dffpipe/_primary.vhd
timing_sim/work/dcfifo_fefifo/
timing_sim/work/dcfifo_fefifo/verilog.asm
timing_sim/work/dcfifo_fefifo/_primary.dat
timing_sim/work/dcfifo_fefifo/_primary.vhd
timing_sim/work/dcfifo_sync/
timing_sim/work/dcfifo_sync/verilog.asm
timing_sim/work/dcfifo_sync/_primary.dat

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com