CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:greedy_snake

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2014-12-26
  • 文件大小:
    1.46mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

基于Basys2开发板实现VGA输出,PS/2键盘接入的贪吃蛇游戏,键盘上下左右控制方向,小键盘+键控制速度,小键盘回车开始游戏,空格暂停游戏。-Basys2 based development board to achieve VGA output, PS/2 keyboard access Snake game, up and down the keyboard to control the direction, speed control keypad+ key keypad Enter to start the game, pause the game space.
(系统自动生成,下载前可以参看下载内容)

下载文件列表

greedy_snake/.Xil/PlanAhead-6956-USER-20140806JZ/ngc2edif/Greedy_Snake.edif
greedy_snake/.Xil/PlanAhead-6956-USER-20140806JZ/ngc2edif/ngc2edif.log
greedy_snake/.Xil/PlanAhead-6956-USER-20140806JZ/ngc2edif/_xmsgs/ngc2edif.xmsgs
greedy_snake/Game_ctrl_unit.bmm
greedy_snake/Game_ctrl_unit.v
greedy_snake/Greedysnake.cmd_log
greedy_snake/Greedysnake.lso
greedy_snake/Greedysnake.prj
greedy_snake/Greedysnake.syr
greedy_snake/Greedysnake.v
greedy_snake/Greedysnake.xst
greedy_snake/Greedysnake_envsettings.html
greedy_snake/Greedysnake_summary.html
greedy_snake/Greedysnake_xst.xrpt
greedy_snake/greedy_snake.bgn
greedy_snake/greedy_snake.bit
greedy_snake/Greedy_Snake.bld
greedy_snake/Greedy_Snake.cmd_log
greedy_snake/greedy_snake.drc
greedy_snake/greedy_snake.gise
greedy_snake/Greedy_Snake.lso
greedy_snake/Greedy_Snake.ncd
greedy_snake/Greedy_Snake.ngc
greedy_snake/Greedy_Snake.ngd
greedy_snake/Greedy_Snake.ngr
greedy_snake/Greedy_Snake.pad
greedy_snake/Greedy_Snake.par
greedy_snake/Greedy_Snake.pcf
greedy_snake/Greedy_Snake.prj
greedy_snake/Greedy_Snake.ptwx
greedy_snake/Greedy_Snake.stx
greedy_snake/Greedy_Snake.syr
greedy_snake/Greedy_Snake.twr
greedy_snake/Greedy_Snake.twx
greedy_snake/Greedy_Snake.ucf
greedy_snake/Greedy_Snake.unroutes
greedy_snake/Greedy_Snake.ut
greedy_snake/greedy_snake.xise
greedy_snake/Greedy_Snake.xpi
greedy_snake/Greedy_Snake.xst
greedy_snake/Greedy_Snake_bitgen.xwbt
greedy_snake/Greedy_Snake_envsettings.html
greedy_snake/Greedy_Snake_guide.ncd
greedy_snake/Greedy_Snake_map.map
greedy_snake/Greedy_Snake_map.mrp
greedy_snake/Greedy_Snake_map.ncd
greedy_snake/Greedy_Snake_map.ngm
greedy_snake/Greedy_Snake_map.xrpt
greedy_snake/Greedy_Snake_ngdbuild.xrpt
greedy_snake/Greedy_Snake_pad.csv
greedy_snake/Greedy_Snake_pad.txt
greedy_snake/Greedy_Snake_par.xrpt
greedy_snake/Greedy_Snake_summary.html
greedy_snake/Greedy_Snake_summary.xml
greedy_snake/Greedy_Snake_usage.xml
greedy_snake/Greedy_Snake_xst.xrpt
greedy_snake/iseconfig/Greedysnake.xreport
greedy_snake/iseconfig/greedy_snake.projectmgr
greedy_snake/iseconfig/Greedy_Snake.xreport
greedy_snake/Key.v
greedy_snake/Keyboard.v
greedy_snake/pa.fromHdl.tcl
greedy_snake/pa.fromNetlist.tcl
greedy_snake/planAhead.ngc2edif.log
greedy_snake/planAhead_pid10988.debug
greedy_snake/planAhead_pid11336.debug
greedy_snake/planAhead_pid12180.debug
greedy_snake/planAhead_pid5656.debug
greedy_snake/planAhead_run_1/greedy_snake.data/cache/Greedy_Snake_ngc_zx.edif
greedy_snake/planAhead_run_1/greedy_snake.data/constrs_1/designprops.xml
greedy_snake/planAhead_run_1/greedy_snake.data/constrs_1/fileset.xml
greedy_snake/planAhead_run_1/greedy_snake.data/constrs_1/usercols.xml
greedy_snake/planAhead_run_1/greedy_snake.data/runs/impl_1.psg
greedy_snake/planAhead_run_1/greedy_snake.data/runs/runs.xml
greedy_snake/planAhead_run_1/greedy_snake.data/sim_1/fileset.xml
greedy_snake/planAhead_run_1/greedy_snake.data/sources_1/chipscope.xml
greedy_snake/planAhead_run_1/greedy_snake.data/sources_1/fileset.xml
greedy_snake/planAhead_run_1/greedy_snake.data/sources_1/ports.xml
greedy_snake/planAhead_run_1/greedy_snake.data/wt/java_command_handlers.wdf
greedy_snake/planAhead_run_1/greedy_snake.data/wt/project.wpc
greedy_snake/planAhead_run_1/greedy_snake.data/wt/webtalk_pa.xml
greedy_snake/planAhead_run_1/greedy_snake.ppr
greedy_snake/planAhead_run_1/planAhead.jou
greedy_snake/planAhead_run_1/planAhead.log
greedy_snake/planAhead_run_1/planAhead_run.log
greedy_snake/planAhead_run_2/greedy_snake.data/cache/Greedy_Snake_ngc_zx.edif
greedy_snake/planAhead_run_2/greedy_snake.data/constrs_1/designprops.xml
greedy_snake/planAhead_run_2/greedy_snake.data/constrs_1/fileset.xml
greedy_snake/planAhead_run_2/greedy_snake.data/constrs_1/usercols.xml
greedy_snake/planAhead_run_2/greedy_snake.data/runs/impl_1.psg
greedy_snake/planAhead_run_2/greedy_snake.data/runs/runs.xml
greedy_snake/planAhead_run_2/greedy_snake.data/sim_1/fileset.xml
greedy_snake/planAhead_run_2/greedy_snake.data/sources_1/chipscope.xml
greedy_snake/planAhead_run_2/greedy_snake.data/sources_1/fileset.xml
greedy_snake/planAhead_run_2/greedy_snake.data/sources_1/ports.xml
greedy_snake/planAhead_run_2/greedy_snake.data/wt/java_command_handlers.wdf
greedy_snake/planAhead_run_2/greedy_snake.data/wt/project.wpc
greedy_snake/planAhead_run_2/greedy_snake.data/wt/webtalk_pa.xml
greedy_snake/planAhead_run_2/greedy_snake.ppr
greedy_snake/planAhead_run_2/planAhead.jou
greedy_snake/planAhead_run_2/planAhead.log
greedy_snake/planAhead_run_2/planAhead_run.log
greedy_snake/planAhead_run_3/greedy_snake.data/cache/Greedy_Snake_ngc_zx.edif
greedy_snake/planAhead_run_3/greedy_snake.data/constrs_1/designprops.xml
greedy_snake/planAhead_run_3/greedy_snake.data/constrs_1/fileset.xml
greedy_snake/planAhead_run_3/greedy_snake.data/constrs_1/usercols.xml
greedy_snake/planAhead_run_3/greedy_snake.data/runs/impl_1.psg
greedy_snake/planAhead_run_3/greedy_snake.data/runs/runs.xml
greedy_snake/planAhead_run_3/greedy_snake.data/sim_1/fileset.xml
greedy_snake/planAhead_run_3/greedy_snake.data/sources_1/chipscope.xml
greedy_snake/planAhead_run_3/greedy_snake.data/sources_1/file

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com