CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 其他小程序

文件名称:7_VGA

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2016-02-28
  • 文件大小:
    1.38mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

VGA屏幕上显示出白-红-绿-蓝的彩条信号。基于basys3,软件平台vivado-VGA screen display color signal of white- red green blue. Based on basys3 software platform, vivado
(系统自动生成,下载前可以参看下载内容)

下载文件列表

VGA实验_v1.docx
file_vga/
file_vga/vga.v
file_vga/vga.xdc
lab_vga/
lab_vga/lab_vga.cache/
lab_vga/lab_vga.cache/wt/
lab_vga/lab_vga.cache/wt/synthesis.wdf
lab_vga/lab_vga.cache/wt/webtalk_pa.xml
lab_vga/lab_vga.runs/
lab_vga/lab_vga.runs/.jobs/
lab_vga/lab_vga.runs/.jobs/vrs_config_1.xml
lab_vga/lab_vga.runs/.jobs/vrs_config_2.xml
lab_vga/lab_vga.runs/.jobs/vrs_config_3.xml
lab_vga/lab_vga.runs/clk_wiz_0_synth_1/
lab_vga/lab_vga.runs/clk_wiz_0_synth_1/.Vivado Synthesis.queue.rst
lab_vga/lab_vga.runs/clk_wiz_0_synth_1/.Xil/
lab_vga/lab_vga.runs/clk_wiz_0_synth_1/.Xil/clk_wiz_0_propImpl.xdc
lab_vga/lab_vga.runs/clk_wiz_0_synth_1/.vivado.begin.rst
lab_vga/lab_vga.runs/clk_wiz_0_synth_1/.vivado.end.rst
lab_vga/lab_vga.runs/clk_wiz_0_synth_1/ISEWrap.js
lab_vga/lab_vga.runs/clk_wiz_0_synth_1/ISEWrap.sh
lab_vga/lab_vga.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp
lab_vga/lab_vga.runs/clk_wiz_0_synth_1/clk_wiz_0.tcl
lab_vga/lab_vga.runs/clk_wiz_0_synth_1/clk_wiz_0.vds
lab_vga/lab_vga.runs/clk_wiz_0_synth_1/clk_wiz_0_utilization_synth.pb
lab_vga/lab_vga.runs/clk_wiz_0_synth_1/clk_wiz_0_utilization_synth.rpt
lab_vga/lab_vga.runs/clk_wiz_0_synth_1/dont_touch.xdc
lab_vga/lab_vga.runs/clk_wiz_0_synth_1/gen_run.xml
lab_vga/lab_vga.runs/clk_wiz_0_synth_1/htr.txt
lab_vga/lab_vga.runs/clk_wiz_0_synth_1/project.wdf
lab_vga/lab_vga.runs/clk_wiz_0_synth_1/rundef.js
lab_vga/lab_vga.runs/clk_wiz_0_synth_1/runme.bat
lab_vga/lab_vga.runs/clk_wiz_0_synth_1/runme.log
lab_vga/lab_vga.runs/clk_wiz_0_synth_1/runme.sh
lab_vga/lab_vga.runs/clk_wiz_0_synth_1/vivado.jou
lab_vga/lab_vga.runs/clk_wiz_0_synth_1/vivado.pb
lab_vga/lab_vga.runs/impl_1/
lab_vga/lab_vga.runs/impl_1/.Vivado Implementation.queue.rst
lab_vga/lab_vga.runs/impl_1/.Xil/
lab_vga/lab_vga.runs/impl_1/.init_design.begin.rst
lab_vga/lab_vga.runs/impl_1/.init_design.end.rst
lab_vga/lab_vga.runs/impl_1/.opt_design.begin.rst
lab_vga/lab_vga.runs/impl_1/.opt_design.end.rst
lab_vga/lab_vga.runs/impl_1/.place_design.begin.rst
lab_vga/lab_vga.runs/impl_1/.place_design.end.rst
lab_vga/lab_vga.runs/impl_1/.route_design.begin.rst
lab_vga/lab_vga.runs/impl_1/.route_design.end.rst
lab_vga/lab_vga.runs/impl_1/.vivado.begin.rst
lab_vga/lab_vga.runs/impl_1/.vivado.end.rst
lab_vga/lab_vga.runs/impl_1/.write_bitstream.begin.rst
lab_vga/lab_vga.runs/impl_1/.write_bitstream.end.rst
lab_vga/lab_vga.runs/impl_1/ISEWrap.js
lab_vga/lab_vga.runs/impl_1/ISEWrap.sh
lab_vga/lab_vga.runs/impl_1/gen_run.xml
lab_vga/lab_vga.runs/impl_1/htr.txt
lab_vga/lab_vga.runs/impl_1/init_design.pb
lab_vga/lab_vga.runs/impl_1/opt_design.pb
lab_vga/lab_vga.runs/impl_1/place_design.pb
lab_vga/lab_vga.runs/impl_1/project.wdf
lab_vga/lab_vga.runs/impl_1/route_design.pb
lab_vga/lab_vga.runs/impl_1/rundef.js
lab_vga/lab_vga.runs/impl_1/runme.bat
lab_vga/lab_vga.runs/impl_1/runme.log
lab_vga/lab_vga.runs/impl_1/runme.sh
lab_vga/lab_vga.runs/impl_1/usage_statistics_webtalk.html
lab_vga/lab_vga.runs/impl_1/usage_statistics_webtalk.xml
lab_vga/lab_vga.runs/impl_1/vga.bit
lab_vga/lab_vga.runs/impl_1/vga.tcl
lab_vga/lab_vga.runs/impl_1/vga.vdi
lab_vga/lab_vga.runs/impl_1/vga_clock_utilization_placed.rpt
lab_vga/lab_vga.runs/impl_1/vga_control_sets_placed.rpt
lab_vga/lab_vga.runs/impl_1/vga_drc_routed.pb
lab_vga/lab_vga.runs/impl_1/vga_drc_routed.rpt
lab_vga/lab_vga.runs/impl_1/vga_io_placed.rpt
lab_vga/lab_vga.runs/impl_1/vga_opt.dcp
lab_vga/lab_vga.runs/impl_1/vga_placed.dcp
lab_vga/lab_vga.runs/impl_1/vga_power_routed.rpt
lab_vga/lab_vga.runs/impl_1/vga_power_summary_routed.pb
lab_vga/lab_vga.runs/impl_1/vga_route_status.pb
lab_vga/lab_vga.runs/impl_1/vga_route_status.rpt
lab_vga/lab_vga.runs/impl_1/vga_routed.dcp
lab_vga/lab_vga.runs/impl_1/vga_timing_summary_routed.pb
lab_vga/lab_vga.runs/impl_1/vga_timing_summary_routed.rpt
lab_vga/lab_vga.runs/impl_1/vga_utilization_placed.pb
lab_vga/lab_vga.runs/impl_1/vga_utilization_placed.rpt
lab_vga/lab_vga.runs/impl_1/vivado.jou
lab_vga/lab_vga.runs/impl_1/vivado.pb
lab_vga/lab_vga.runs/impl_1/write_bitstream.pb
lab_vga/lab_vga.runs/synth_1/
lab_vga/lab_vga.runs/synth_1/.Vivado Synthesis.queue.rst
lab_vga/lab_vga.runs/synth_1/.Xil/
lab_vga/lab_vga.runs/synth_1/.vivado.begin.rst
lab_vga/lab_vga.runs/synth_1/.vivado.end.rst
lab_vga/lab_vga.runs/synth_1/ISEWrap.js
lab_vga/lab_vga.runs/synth_1/ISEWrap.sh
lab_vga/lab_vga.runs/synth_1/dont_buffer.xdc
lab_vga/lab_vga.runs/synth_1/gen_run.xml
lab_vga/lab_vga.runs/synth_1/htr.txt
lab_vga/lab_vga.runs/synth_1/rundef.js
lab_vga/lab_vga.runs/synth_1/runme.bat
lab_vga/lab_vga.runs/synth_1/runme.log
lab_vga/lab_vga.runs/synth_1/runme.sh
lab_vga/lab_vga.runs/synth_1/vga.dcp
lab_vga/lab_vga.runs/synth_1/vga.tcl
lab_vga/lab_vga.runs/synth_1/vga.vds
lab_vga/lab_vga.runs/synth_1/vga_utilization_synth.pb
lab_vga/lab_vga.runs/synth_1/vga_utilization_synth.rpt
lab_vga/lab_vga.runs/synth_1/vivado.jou
lab_vga/lab_vga.runs/synth_1/vivado.pb
lab_vga/lab_vga.srcs/
lab_vga/lab_vga.srcs/constrs_1/
lab_vga/lab_vga.srcs/constrs_1/imports/
lab_vga/lab_vga.srcs/constrs_1/imports/file_vga/
lab_vga/lab_vga.srcs/constrs_1/imports/file_vga/vga.xdc
lab_vga/lab_vga.srcs/sources_1/
lab_vga/lab_vga.sr

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com