CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 其他嵌入式/单片机内容

文件名称:Verification_E_language_Motor_Controller

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2008-10-13
  • 文件大小:
    1021.45kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

关于一个Motor Controller示例的E语言验证程序!
(系统自动生成,下载前可以参看下载内容)

下载文件列表

source
source/e
source/e/lab_mc_cover.e
source/e/lab_mc_driver.e
source/e/lab_mc_env.e
source/e/lab_mc_monitor.e
source/e/lab_mc_test.e
source/e/lab_mc_test2.e
source/e/lab_mc_test3.e
source/e/lab_mc_test_dn.e
source/e/lab_mc_test_original.e
source/lab_cover_new.e
source/lab_mc_cover_1.e
source/lab_mc_cover_2.e
source/lab_mc_cover_3.e
source/lab_mc_driver.e
source/lab_mc_driver2.e
source/lab_mc_driver_2.e
source/lab_mc_monitor_1.e
source/lab_mc_monitor_2.e
source/lab_mc_monitor_3.e
source/lab_mc_monitor_5.e
source/vhdl
source/vhdl/bug_lab_mc-rtl-a_1.vhd
source/vhdl/rtl
source/vhdl/rtl/bug_lab_mc-e.vhd
source/vhdl/rtl/bug_lab_mc-rtl-a.vhd
source/vhdl/rtl/bug_lab_mc-rtl-conf-c.vhd
source/vhdl/tb
source/vhdl/tb/lab_mc_sn-e.vhd
source/vhdl/tb/lab_mc_sn-rtl-a.vhd
source/vhdl/tb/lab_mc_sn-rtl-conf-c.vhd
source/vhdl/tb/specman_mc_nc.vhd
Motor Controller Verification Specification v1.1.doc
Motor Controller vPlan v1.5.doc
www.dssz.com.txt

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com