CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 图形图象 图形图像处理(光照,映射..)

文件名称:LAB0

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2016-10-27
  • 文件大小:
    433.45kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

用verilog代码控制VGA显示屏显示蓝色屏幕。-The verilog code controls the VGA display to display a blue screen.
(系统自动生成,下载前可以参看下载内容)

下载文件列表

LAB0/
LAB0/LAB0/
LAB0/LAB0/ClkAndResetGen_summary.html
LAB0/LAB0/dcm1.v
LAB0/LAB0/dcm1.xaw
LAB0/LAB0/dcm1_arwz.ucf
LAB0/LAB0/default.ipf
LAB0/LAB0/default_xdb/
LAB0/LAB0/default_xdb/tmp/
LAB0/LAB0/device_usage_statistics.html
LAB0/LAB0/LAB0.ise
LAB0/LAB0/LAB0.ntrc_log
LAB0/LAB0/LAB0.restore
LAB0/LAB0/LAB0_xdb/
LAB0/LAB0/LAB0_xdb/cst.xbcd
LAB0/LAB0/LAB0_xdb/tmp/
LAB0/LAB0/LAB0_xdb/tmp/ise/
LAB0/LAB0/LAB0_xdb/tmp/ise.lock
LAB0/LAB0/LAB0_xdb/tmp/ise/version
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/Autonym/
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/common/
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/ExpandedNetlistEngine/
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/HierarchicalDesign/
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/HierarchicalDesign/HDProject/
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/HierarchicalDesign/HDProject/HDProject
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/HierarchicalDesign/HDProject/HDProject_StrTbl
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/HierarchicalDesign/__stored_object_table__
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/PnAutoRun/
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/PnAutoRun/Scripts/
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/PnAutoRun/Scripts/RunOnce_tcl
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/PnAutoRun/Scripts/RunOnce_tcl_StrTbl
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/ProjectNavigatorGui/
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/ProjectNavigatorGui/GuiProjectData
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/ProjectNavigatorGui/GuiProjectData_StrTbl
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_main
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_main_StrTbl
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/NameMap
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/NameMap_StrTbl
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/__stored_objects__
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/__stored_objects___StrTbl
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/__stored_object_table__
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/SrcCtrl/
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/SrcCtrl/SavedOptions/
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/STE/
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/WebTalk/
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/xreport/
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Current-Module
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Current-Module_StrTbl
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-ClkAndResetGen
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-ClkAndResetGen_StrTbl
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-VGA
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-VGA_StrTbl
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-DataFactory-Default
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-DataFactory-Default_StrTbl
LAB0/LAB0/LAB0_xdb/tmp/ise/__OBJSTORE__/_ProjRepoInternal_/
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/Autonym/
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/Autonym/regkeys
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/bitgen/
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/bitgen/regkeys
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/common/
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/common/regkeys
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/cpldfit/
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/cpldfit/regkeys
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/Cs/
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/Cs/regkeys
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/dumpngdio/
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/dumpngdio/regkeys
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/ExpandedNetlistEngine/
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/ExpandedNetlistEngine/regkeys
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/fuse/
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/fuse/regkeys
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/HierarchicalDesign/
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/HierarchicalDesign/HDProject/
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/HierarchicalDesign/HDProject/regkeys
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/HierarchicalDesign/regkeys
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/hprep6/
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/hprep6/regkeys
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/idem/
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/idem/regkeys
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/map/
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/map/regkeys
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/netgen/
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/netgen/regkeys
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/ngc2edif/
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/ngc2edif/regkeys
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/ngcbuild/
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/ngcbuild/regkeys
LAB0/LAB0/LAB0_xdb/tmp/ise/__REGISTRY__/ngdbuild/
L

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com