CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:fft_ex1

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2017-04-17
  • 文件大小:
    4.37mb
  • 已下载:
    2次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

基于verilog的FFT设计,使用vivado作为开发平台-Verilog based on the FFT design, the use of vivado as a development platform
(系统自动生成,下载前可以参看下载内容)

下载文件列表

fft_ex1/cos4.coe
fft_ex1/fft_ex.sim/sim_1/behav/compile.bat
fft_ex1/fft_ex.sim/sim_1/behav/compile.log
fft_ex1/fft_ex.sim/sim_1/behav/cos4.coe
fft_ex1/fft_ex.sim/sim_1/behav/data_buffer_input.mif
fft_ex1/fft_ex.sim/sim_1/behav/elaborate.bat
fft_ex1/fft_ex.sim/sim_1/behav/elaborate.log
fft_ex1/fft_ex.sim/sim_1/behav/fft_tb1.tcl
fft_ex1/fft_ex.sim/sim_1/behav/fft_tb1_behav.wdb
fft_ex1/fft_ex.sim/sim_1/behav/fft_tb1_vlog.prj
fft_ex1/fft_ex.sim/sim_1/behav/glbl.v
fft_ex1/fft_ex.sim/sim_1/behav/simulate.bat
fft_ex1/fft_ex.sim/sim_1/behav/simulate.log
fft_ex1/fft_ex.sim/sim_1/behav/webtalk.jou
fft_ex1/fft_ex.sim/sim_1/behav/webtalk.log
fft_ex1/fft_ex.sim/sim_1/behav/webtalk_31204.backup.jou
fft_ex1/fft_ex.sim/sim_1/behav/webtalk_31204.backup.log
fft_ex1/fft_ex.sim/sim_1/behav/xelab.pb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/blk_mem_gen_v8_2/@b@l@k_@m@e@m_@g@e@n_v8_2_mem_module.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/blk_mem_gen_v8_2/@b@l@k_@m@e@m_@g@e@n_v8_2_output_stage.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/blk_mem_gen_v8_2/@b@l@k_@m@e@m_@g@e@n_v8_2_softecc_output_reg_stage.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/blk_mem_gen_v8_2/@s@t@a@t@e_@l@o@g@i@c_v8_2.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/blk_mem_gen_v8_2/beh_vlog_ff_ce_clr_v8_2.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/blk_mem_gen_v8_2/beh_vlog_ff_clr_v8_2.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/blk_mem_gen_v8_2/beh_vlog_ff_pre_v8_2.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/blk_mem_gen_v8_2/beh_vlog_muxf7_v8_2.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/blk_mem_gen_v8_2/blk_mem_axi_read_wrapper_beh_v8_2.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/blk_mem_gen_v8_2/blk_mem_axi_regs_fwd_v8_2.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/blk_mem_gen_v8_2/blk_mem_axi_write_wrapper_beh_v8_2.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/blk_mem_gen_v8_2/blk_mem_gen_v8_2.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/blk_mem_gen_v8_2/read_netlist_v8_2.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/blk_mem_gen_v8_2/write_netlist_v8_2.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/fft_tb1_behav/Compile_Options.txt
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/fft_tb1_behav/webtalk/.xsim_webtallk.info
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/fft_tb1_behav/xsim.dbg
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/fft_tb1_behav/xsim.mem
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/fft_tb1_behav/xsim.reloc
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/fft_tb1_behav/xsim.rtti
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/fft_tb1_behav/xsim.svtype
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/fft_tb1_behav/xsim.type
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/fft_tb1_behav/xsim.xdbg
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/fft_tb1_behav/xsimcrash.log
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/fft_tb1_behav/xsimk.exe
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/fft_tb1_behav/xsimkernel.log
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/xil_defaultlib/clk_wiz_0.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/xil_defaultlib/clk_wiz_0_clk_wiz.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/xil_defaultlib/data_buffer_input.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/xil_defaultlib/data_buffer_output.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/xil_defaultlib/fft.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/xil_defaultlib/fft_tb1.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/xil_defaultlib/glbl.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/xil_defaultlib/xfft_0.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/xil_defaultlib/xfft_0_adder.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/xil_defaultlib/xfft_0_adder_24.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/xil_defaultlib/xfft_0_arith_shift3.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/xil_defaultlib/xfft_0_arith_shift3_3.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/xil_defaultlib/xfft_0_arith_shift3_4.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/xil_defaultlib/xfft_0_arith_shift3_5.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/xil_defaultlib/xfft_0_axi_wrapper.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/xil_defaultlib/xfft_0_axi_wrapper_input_fifo.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/xil_defaultlib/xfft_0_axi_wrapper_input_fifo__parameterized0.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/xil_defaultlib/xfft_0_axi_wrapper_output_fifo.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/xil_defaultlib/xfft_0_butterfly.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/xil_defaultlib/xfft_0_cmpy.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/xil_defaultlib/xfft_0_cmpy_3_dsp48.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/xil_defaultlib/xfft_0_cmpy_3_dsp48_mult.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/xil_defaultlib/xfft_0_cmpy_3_dsp48_mult__parameterized0.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/xil_defaultlib/xfft_0_cmpy_3_dsp48_mult__parameterized1.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/xil_defaultlib/xfft_0_cmpy_v6_0_delay_line__parameterized1.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/xil_defaultlib/xfft_0_cmpy_v6_0_synth.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/xil_defaultlib/xfft_0_cnt_tc_rtl.sdb
fft_ex1/fft_ex.sim/sim_1/behav/xsim.dir/xil_defaultlib/xfft_0_cnt_tc_rtl__parameterized0.sdb
fft_ex1/fft_ex.sim/sim_1

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com