CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:9.16 fifoasi

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2008-10-13
  • 文件大小:
    2.63mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

主要完成数字电视前端信号处理和缓冲作用的verilog源代码,可以直接使用 -the major digital TV front-end signal processing and buffer the Verilog source code can be used directly
(系统自动生成,下载前可以参看下载内容)

下载文件列表

9.16fifoasi/16to8ddf.bdf
9.16fifoasi/8to16ddf.bdf
9.16fifoasi/altshift_taps0.v
9.16fifoasi/altshift_taps0_bb.v
9.16fifoasi/asitodsp.bsf
9.16fifoasi/asitodsp.v
9.16fifoasi/asitodsp_bb.v
9.16fifoasi/asitodsp_wave0.jpg
9.16fifoasi/asitodsp_waveforms.html
9.16fifoasi/dsptoasi.bsf
9.16fifoasi/dsptoasi.v
9.16fifoasi/dsptoasi_bb.v
9.16fifoasi/dsptoasi_wave0.jpg
9.16fifoasi/dsptoasi_waveforms.html
9.16fifoasi/fifoasi.flow.rpt
9.16fifoasi/fifoasi.map.rpt
9.16fifoasi/fifoasi.map.summary
9.16fifoasi/fifo_asi.bdf
9.16fifoasi/fifoasi.tcl
9.16fifoasi/lpm_counter0.bsf
9.16fifoasi/lpm_counter0.v
9.16fifoasi/lpm_counter0_bb.v
9.16fifoasi/lpm_counter0_wave0.jpg
9.16fifoasi/lpm_counter0_waveforms.html
9.16fifoasi/lpm_counter1.bsf
9.16fifoasi/lpm_counter1.v
9.16fifoasi/lpm_counter1_bb.v
9.16fifoasi/lpm_counter1_wave0.jpg
9.16fifoasi/lpm_counter1_waveforms.html
9.16fifoasi/lpm_dff0.bsf
9.16fifoasi/lpm_dff0.v
9.16fifoasi/lpm_dff0_bb.v
9.16fifoasi/lpm_dff1.bsf
9.16fifoasi/lpm_dff1.v
9.16fifoasi/lpm_dff1_bb.v
9.16fifoasi/lpm_dff2.bsf
9.16fifoasi/lpm_dff2.v
9.16fifoasi/lpm_dff2_bb.v
9.16fifoasi/lpm_dff3.bsf
9.16fifoasi/lpm_dff3.v
9.16fifoasi/lpm_dff3_bb.v
9.16fifoasi/lpm_shiftreg0.bsf
9.16fifoasi/lpm_shiftreg0.v
9.16fifoasi/lpm_shiftreg0_bb.v
9.16fifoasi/out41.v
9.16fifoasi/altpll0.tdf
9.16fifoasi/selecet_device.v
9.16fifoasi/lpm_dff6.v
9.16fifoasi/lpm_dff4.bsf
9.16fifoasi/lpm_dff4.v
9.16fifoasi/lpm_dff4_bb.v
9.16fifoasi/fifoasi_pwr_cal.txt
9.16fifoasi/lpm_dff6.bsf
9.16fifoasi/lpm_dff6_bb.v
9.16fifoasi/lpm_bustri0.v
9.16fifoasi/lpm_bustri0.bsf
9.16fifoasi/lpm_bustri0_bb.v
9.16fifoasi/lpm_dff7.v
9.16fifoasi/lpm_dff7.bsf
9.16fifoasi/lpm_dff7_bb.v
9.16fifoasi/lpm_bustri3.v
9.16fifoasi/lpm_bustri3.bsf
9.16fifoasi/lpm_bustri3_bb.v
9.16fifoasi/lpm_bustri4.v
9.16fifoasi/lpm_bustri4.bsf
9.16fifoasi/lpm_bustri4_bb.v
9.16fifoasi/lpm_bustri1.bsf
9.16fifoasi/lpm_bustri1_bb.v
9.16fifoasi/altddio_bidir0.v
9.16fifoasi/altddio_bidir0.bsf
9.16fifoasi/altddio_bidir0_bb.v
9.16fifoasi/lpm_inv0.v
9.16fifoasi/lpm_inv0.bsf
9.16fifoasi/lpm_inv0_bb.v
9.16fifoasi/lpm_bustri1.v
9.16fifoasi/fifoasi.map.eqn
9.16fifoasi/fifoasi.fit.eqn
9.16fifoasi/fifoasi.pin
9.16fifoasi/fifoasi.fit.rpt
9.16fifoasi/fifoasi.fit.summary
9.16fifoasi/16to8vri.v
9.16fifoasi/16to8vir.v
9.16fifoasi/fifoasi.sof
9.16fifoasi/fifoasi.pof
9.16fifoasi/fifoasi.asm.rpt
9.16fifoasi/fifoasi.tan.summary
9.16fifoasi/fifoasi.tan.rpt
9.16fifoasi/fifoasi.done
9.16fifoasi/fifoasi.sim.rpt
9.16fifoasi/lpm_mult0_waveforms.html
9.16fifoasi/lpm_mult0_wave0.jpg
9.16fifoasi/lpm_mult0.v
9.16fifoasi/lpm_mult0.bsf
9.16fifoasi/lpm_mult0_bb.v
9.16fifoasi/lpm_bustri2.v
9.16fifoasi/lpm_bustri2.bsf
9.16fifoasi/lpm_bustri2_bb.v
9.16fifoasi/altpll0.bsf
9.16fifoasi/lpm_dff5.v
9.16fifoasi/lpm_dff5.bsf
9.16fifoasi/lpm_dff5_bb.v
9.16fifoasi/lpm_tff0.v
9.16fifoasi/lpm_tff0.bsf
9.16fifoasi/lpm_tff0_bb.v
9.16fifoasi/INT.v
9.16fifoasi/uncode.bsf
9.16fifoasi/undo_redo.txt
9.16fifoasi/serv_req_info.txt
9.16fifoasi/lpm_mux0.v
9.16fifoasi/lpm_mux0.bsf
9.16fifoasi/lpm_mux0_bb.v
9.16fifoasi/fifoasi.qsf
9.16fifoasi/fifoasi.qpf
9.16fifoasi/fifoasi.qws
9.16fifoasi/cmp_state.ini
9.16fifoasi/db/fifoasi.hif
9.16fifoasi/db/cntr_uu7.tdf
9.16fifoasi/db/mux_pgc.tdf
9.16fifoasi/db/fifoasi.db_info
9.16fifoasi/db/fifoasi.map.qmsg
9.16fifoasi/db/fifoasi.rpp.qmsg
9.16fifoasi/db/fifoasi.icc
9.16fifoasi/db/fifoasi_cmp.qrpt
9.16fifoasi/db/fifoasi.(0).cnf.cdb
9.16fifoasi/db/fifoasi.(7).cnf.cdb
9.16fifoasi/db/fifoasi.(7).cnf.hdb
9.16fifoasi/db/fifoasi.(1).cnf.cdb
9.16fifoasi/db/fifoasi.(1).cnf.hdb
9.16fifoasi/db/fifoasi.(2).cnf.cdb
9.16fifoasi/db/fifoasi.(2).cnf.hdb
9.16fifoasi/db/dcfifo_dv21.tdf
9.16fifoasi/db/a_gray2bin_p4b.tdf
9.16fifoasi/db/a_graycounter_i06.tdf
9.16fifoasi/db/altsyncram_bv01.tdf
9.16fifoasi/db/alt_synch_pipe_2a3.tdf
9.16fifoasi/db/dffpipe_2a3.tdf
9.16fifoasi/db/add_sub_fub.tdf
9.16fifoasi/db/fifoasi.(3).cnf.cdb
9.16fifoasi/db/fifoasi.(3).cnf.hdb
9.16fifoasi/db/fifoasi.(4).cnf.cdb
9.16fifoasi/db/fifoasi.(4).cnf.hdb
9.16fifoasi/db/fifoasi.(5).cnf.cdb
9.16fifoasi/db/fifoasi.(5).cnf.hdb
9.16fifoasi/db/fifoasi.(6).cnf.cdb
9.16fifoasi/db/fifoasi.(6).cnf.hdb
9.16fifoasi/db/fifoasi.rtlv_sg_swap.cdb
9.16fifoasi/db/fifoasi.sgdiff.hdb
9.16fifoasi/db/fifoasi.(8).cnf.cdb
9.16fifoasi/db/fifoasi.(8).cnf.hdb
9.16fifoasi/db/fifoasi.(9).cnf.cdb
9.16fifoasi/db/fifoasi.(9).cnf.hdb
9.16fifoasi/db/fifoasi.(10).cnf.cdb
9.16fifoasi/db/fifoasi.(10).cnf.hdb
9.16fifoasi/db/fifoasi.(11).cnf.cdb
9.16fifoasi/db/fifoasi.(11).cnf.hdb
9.16fifoasi/db/fifoasi.(12).cnf.cdb
9.16fifoasi/db/fifoasi.(12).cnf.hdb
9.16fifoasi/db/fifoasi.(13).cnf.cdb
9.16fifoasi/db/fifoasi.(13).cnf.hdb
9.16fifoasi/db/fifoasi.(14).cnf.cdb
9.16fifoasi/db/fifoasi.(14).cnf.hdb
9.16fifoasi/db/fifoasi.(15).cnf.cdb
9.16fifoasi/db/fifoasi.(15).cnf.hdb
9.16fifoasi/db/fifoasi.(16).cnf.cdb
9.16fifoasi/db/fifoasi.(16).cnf.hdb
9.16fifoasi/db/cntr_5c7.tdf
9.16fifoasi/db/fifoasi.(17).cnf.cdb
9.16fifoasi/db/fifoasi.(17).cnf.hdb
9.16fifoasi/db/fifoasi.(18).cnf.cdb
9.16fifoasi/db/fifoasi.(18).cnf.hdb
9.16fifoasi/db/fifoasi.(19).cnf.cdb
9.16fifoasi/db/fifoasi.(19).cnf.hdb
9.16fifoasi/db/fifoasi.(20).cnf.cdb
9.16fifoasi/db/f

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com