CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 单片机(51,AVR,MSP430等)

文件名称:state_seg

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    1.02mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

xilinx3s400开发板厂家光盘带源码。state状态机、reg-CD-ROM manufacturers xilinx3s400 development board with source code. state state machine, reg
(系统自动生成,下载前可以参看下载内容)

下载文件列表

s3_state/introduce.txt
s3_state/state_machine1/download/state_machine.bit
s3_state/state_machine1/download/state_machine.mcs
s3_state/state_machine1/download/state_machine.ucf
s3_state/state_machine1/project/automake.log
s3_state/state_machine1/project/bitgen.ut
s3_state/state_machine1/project/compxlib.cfg
s3_state/state_machine1/project/compxlib.log
s3_state/state_machine1/project/compxlib.log.bak
s3_state/state_machine1/project/modelsim.ini
s3_state/state_machine1/project/project.compxlib_log
s3_state/state_machine1/project/project.cxl
s3_state/state_machine1/project/project.dhp
s3_state/state_machine1/project/project.ise
s3_state/state_machine1/project/project.ise_ISE_Backup
s3_state/state_machine1/project/state_machine.bgn
s3_state/state_machine1/project/state_machine.bit
s3_state/state_machine1/project/state_machine.bld
s3_state/state_machine1/project/state_machine.cmd_log
s3_state/state_machine1/project/state_machine.drc
s3_state/state_machine1/project/state_machine.lfp
s3_state/state_machine1/project/state_machine.lso
s3_state/state_machine1/project/state_machine.mrp
s3_state/state_machine1/project/state_machine.nc1
s3_state/state_machine1/project/state_machine.ncd
s3_state/state_machine1/project/state_machine.ngc
s3_state/state_machine1/project/state_machine.ngd
s3_state/state_machine1/project/state_machine.ngm
s3_state/state_machine1/project/state_machine.ngr
s3_state/state_machine1/project/state_machine.pad
s3_state/state_machine1/project/state_machine.pad_txt
s3_state/state_machine1/project/state_machine.par
s3_state/state_machine1/project/state_machine.pcf
s3_state/state_machine1/project/state_machine.placed_ncd_tracker
s3_state/state_machine1/project/state_machine.prj
s3_state/state_machine1/project/state_machine.routed_ncd_tracker
s3_state/state_machine1/project/state_machine.stx
s3_state/state_machine1/project/state_machine.syr
s3_state/state_machine1/project/state_machine.twr
s3_state/state_machine1/project/state_machine.twx
s3_state/state_machine1/project/state_machine.ucf
s3_state/state_machine1/project/state_machine.ucf.untf
s3_state/state_machine1/project/state_machine.ut
s3_state/state_machine1/project/state_machine.v
s3_state/state_machine1/project/state_machine.xpi
s3_state/state_machine1/project/state_machine_last_par.ncd
s3_state/state_machine1/project/state_machine_map.ncd
s3_state/state_machine1/project/state_machine_map.ngm
s3_state/state_machine1/project/state_machine_pad.csv
s3_state/state_machine1/project/state_machine_pad.txt
s3_state/state_machine1/project/state_machine_summary.html
s3_state/state_machine1/project/state_machine_vhdl.prj
s3_state/state_machine1/project/xst/work/hdllib.ref
s3_state/state_machine1/project/xst/work/vlg4D/state__machine.bin
s3_state/state_machine1/project/_impact.cmd
s3_state/state_machine1/project/_impact.log
s3_state/state_machine1/project/_ngo/netlist.lst
s3_state/state_machine1/project/_pace.ucf
s3_state/state_machine1/project/__projnav/bitgen.rsp
s3_state/state_machine1/project/__projnav/ednTOngd_tcl.rsp
s3_state/state_machine1/project/__projnav/nc1TOncd_tcl.rsp
s3_state/state_machine1/project/__projnav/parentAssignPackagePinsApp_tcl.rsp
s3_state/state_machine1/project/__projnav/project.gfl
s3_state/state_machine1/project/__projnav/project_flowplus.gfl
s3_state/state_machine1/project/__projnav/runXst_tcl.rsp
s3_state/state_machine1/project/__projnav/state_machine.xst
s3_state/state_machine1/project/__projnav/state_machine_ncdTOut_tcl.rsp
s3_state/state_machine1/project/__projnav/sumrpt_tcl.rsp
s3_state/state_machine1/project/__projnav.log
s3_state/state_machine1/rtl/state_machine.v
s3_state/state_machine2/download/shell_state.bit
s3_state/state_machine2/download/shell_state.mcs
s3_state/state_machine2/project/.untf
s3_state/state_machine2/project/automake.log
s3_state/state_machine2/project/bitgen.ut
s3_state/state_machine2/project/genExpectedResults.cmd
s3_state/state_machine2/project/isim/work/glbl/glbl.h
s3_state/state_machine2/project/isim/work/glbl/mingw/glbl.obj
s3_state/state_machine2/project/isim/work/hdllib.ref
s3_state/state_machine2/project/isim/work/hdpdeps.ref
s3_state/state_machine2/project/isim/work/shell__state/mingw/shell__state.obj
s3_state/state_machine2/project/isim/work/shell__state/shell__state.h
s3_state/state_machine2/project/isim/work/state/mingw/state.obj
s3_state/state_machine2/project/isim/work/state/state.h
s3_state/state_machine2/project/isim/work/tt/mingw/tt.obj
s3_state/state_machine2/project/isim/work/tt/tt.h
s3_state/state_machine2/project/isim/work/tt/xsimtt.cpp
s3_state/state_machine2/project/isim/work/vlg09/state.bin
s3_state/state_machine2/project/isim/work/vlg24/shell__state.bin
s3_state/state_machine2/project/isim/work/vlg2D/glbl.bin
s3_state/state_machine2/project/isim/work/vlg38/tt.bin
s3_state/state_machine2/project/isim.cmd
s3_state/state_machine2/project/isim.log
s3_state/state_machine2/project/isim.tmp_save/_1
s3_state/state_machine2/project/isimwavedata.xwv
s3_state/state_machine2/project/project.dhp
s3_state/state_machine2/project/project.ise
s3_state/state_machine2/project/project.ise_ISE_Backup
s3_state/state_

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com