CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 乘法器 VHDL

搜索资源列表

  1. 快速乘法器VHDL实现

    0下载:
  2. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-08-20
    • 文件大小:2521
    • 提供者:wps1982
  1. MutiplierDesign

    0下载:
  2. 流水线乘法器,vhdl语言描述, 希望对大家有所帮助 -pipelined multipliers, vhdl language, we hope to help
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:2508
    • 提供者:chenwei
  1. VHDL-XILINX-EXAMPLE26

    1下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC0832电路控制实现SIN函数发生器][14--用状态机实现AD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3688067
    • 提供者:fuhao
  1. MulPar

    0下载:
  2. 八位乘法器VHDL语言实现。使用的工具的ISE7.1,实现八乘八的位相乘。
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:2460
    • 提供者:周东永
  1. vhdl

    0下载:
  2. 用VHDL语言编写的一个乘法器校程序 是基于BOOTH算法的
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1115
    • 提供者:杨天
  1. VHDL学习的好资料--18个VHDL实验源代码

    9下载:
  2. 20个VHDL实验源代码,包括: 1 交通灯控制器 2 格雷码变换器 3 BCD码加法器 4 四位全加器 5 四人抢答器 6 4位并行乘法器 9 步长可变加减计数器 10 可控脉冲发生器 11 正负脉宽数控信源 12 序列检测器 13 4位流水乘法器 14 出租车计费器 15 多功能数字钟 16 多功能数字秒表 17 频率计 18 七人表决器 19 数码锁 20 VGA彩条发生器
  3. 所属分类:VHDL编程

    • 发布日期:2009-04-26
    • 文件大小:16540
    • 提供者:qjhktk
  1. 8位乘法器VHDL

    2下载:
  2. 所属分类:文档资料

    • 发布日期:2009-08-01
    • 文件大小:351778
    • 提供者:hvming
  1. mulf2m.rar

    1下载:
  2. 椭圆曲线加密算法中的乘法器的生成,主要功能是实现在素域上的多项式模P(大素数)乘的运算。,Elliptic curve encryption algorithm to generate the multiplier, the main function is to achieve in the Su-domain polynomial module P (large prime numbers) by the operator.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-02
    • 文件大小:1005
    • 提供者:傅建新
  1. Chapter10

    1下载:
  2. 第十章的代码。 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例模块相
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-15
    • 文件大小:6871574
    • 提供者:xiao
  1. costas的verilog程序

    3下载:
  2. costas的verilog程序,包含乘法器,DDS,鉴相器,环路滤波器等模块-costas the verilog program, including multipliers, DDS, phase detector, loop filter modules
  3. 所属分类:VHDL编程

    • 发布日期:2012-10-23
    • 文件大小:5957
    • 提供者:潇潇
  1. mult_piped_8x8

    0下载:
  2. 8位乘8位的流水线乘法器,采用Verilog hdl编写-8 x 8-bit pipelined multiplier, used to prepare Verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:897
    • 提供者:江浩
  1. 32bitBoothmultiplier

    1下载:
  2. 32位布思乘法器VHDL实现,2个32位数相乘-32-bit Booth multiplier VHDL implementation, two 32-digit multiplication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:7272
    • 提供者:jie
  1. matrix3x3

    0下载:
  2. 3*3矩阵的乘法器代码!!! !!! !!! !!!!1-3* 3 matrix multiplier code~
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:4140
    • 提供者:wjlsomeone
  1. multiplier8x8

    1下载:
  2. 8位定点乘法器,支持有符号数/无符号数运算。采用4-2压缩树结构,并提供testbench。-It is an 8-bit fixed-point multiplier, supporting signed/unsigned operations. Wallance tree structure with 4-2 compression. Provides testbench.
  3. 所属分类:其他小程序

    • 发布日期:2013-05-20
    • 文件大小:2022
    • 提供者:superbear
  1. 4_bit_mul

    0下载:
  2. 四位乘法器,可以实现两个四位二进制数的乘法。-4_bit_mul
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:733
    • 提供者:dwa
  1. jiaotongdengsheji

    0下载:
  2. 乘法器 简单的乘法器编译 用VHDL自己编的-Compiled using a simple multiplier multiplier VHDL own series
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:411859
    • 提供者:wuming
  1. 6345252

    0下载:
  2. FPGA应用实例,FPGA片上硬件乘法器的使用,编程语言vhdl-Application FPGA, FPGA-chip hardware multiplier to use, programming language vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1099845
    • 提供者:lan tian
  1. vhdl

    0下载:
  2. 4位乘法器 vhdl library IEEE use IEEE.std_logic_1164.all entity one_bit_adder is port ( A: in STD_LOGIC B: in STD_LOGIC C_in: in STD_LOGIC S: out STD_LOGIC C_out: out STD_LOGIC ) end one_bit_adder -4-bit multipl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:891
    • 提供者:陈强
  1. VHDL乘法器的设计

    0下载:
  2. 基于VHDL语言的乘法器的详细设计实验报告。
  3. 所属分类:WEB源码

  1. vhdl

    0下载:
  2. 用VHDL语言实现CD4527(BCD比例乘法器)仿真(The simulation of CD4527(BCD proportional multiplier))
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-04
    • 文件大小:2048
    • 提供者:光速ZY
« 1 2 34 5 6 7 8 9 10 »
搜珍网 www.dssz.com