CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 同步计数器

搜索资源列表

  1. anjian

    0下载:
  2. 按键输入模块(key): --可编程延时发生器(数字同步机)的前端输入模块:0-9十个数字键按键输入模块原型 --前端模块:消抖 --对i0-i9十个输入端的两点要求: --(1)输入端要保证一段时间的稳定高电平 --(2)不能同时按下两个或多于两个的键 --后级模块:1、编码;2、可变模计数器 --编码模块:8线-4线(0-8 BCD码) --可变模计数器模块:以编码模块输出的32位BCD码为模值-button input module (key) : -- p
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2816
    • 提供者:汪汪
  1. ThreadSync

    0下载:
  2. 该应用程序运行后自动创建两个线程。线程1每50毫秒计数器加1,线程2每100毫秒计数器加1。只读编辑框中分别显示两个计数器的值。两个命令按钮分别控制线程1和线程2的挂起和恢复。“同步”复选框控制两线程的同步,当处于同步状态时,同一时刻只能有一个线程拥有信号量对象,当某一线程拥有信号量对象时,计数器加10,然后释放信号量对象。由于两线程优先级相同,因此,执行结果是每个线程计数器一次以10为步长增加。-run the application automatically creates two thr
  3. 所属分类:进程与线程

    • 发布日期:2008-10-13
    • 文件大小:49182
    • 提供者:lujun
  1. countqi

    0下载:
  2. 计数器 同步异步预置数清零 verilog hdl 编写-Asynchrony preset counter reset the Verilog HDL few prepared
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:272065
    • 提供者:周颖
  1. NumClock

    0下载:
  2. 基于Altera公司系列FPGA(Cyclone EP1C3T144C8)、Verilog HDL、MAX7219数码管显示芯片、4X4矩阵键盘、TDA2822功放芯片及扬声器等实现了《电子线路设计• 测试• 实验》课程中多功能数字钟实验所要求的所有功能和其它一些扩展功能。包括:基本功能——以数字形式显示时、分、秒的时间,小时计数器为同步24进制,可手动校时、校分;扩展功能——仿广播电台正点报时,任意时刻闹钟(选做),自动报整点时数(选做);其它扩展功能——显示年月日(能处理
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:23375
    • 提供者:田世坤
  1. jk

    0下载:
  2. 寄存器所储存的数据的数据经由数据选择器进入,在进由译码电路将原来的BCD码转换成七段显示器的显示码,之后传送到七段显示器。请注意,四个七段显示器是轮流点亮而非一起点亮,其显示扫描的速度必须和数据选择器器同步,因此需要一个计数器来提供两者的扫描信号。事实上,显示器扫描信号和键盘扫描信号两者对扫描信号的速度要求相近,所以可以共享一组扫描信号。-stored in the register of data through the data selector entry, Progressive by
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1544
    • 提供者:谢斌
  1. dpll

    0下载:
  2. DPLL由 鉴相器 模K加减计数器 脉冲加减电路 同步建立侦察电路 模N分频器 构成. 整个系统的中心频率(即signal_in和signal_out的码速率的2倍) 为clk/8/N. 模K加减计数器的K值决定DPLL的精度和同步建立时间,K越大,则同步建立时间长,同步精度高.反之则短,低.
  3. 所属分类:RFID编程

    • 发布日期:2008-10-13
    • 文件大小:1386
    • 提供者:sharny
  1. counter

    0下载:
  2. 用VHDL设计一个带加减功能的同步计数器-VHDL design a synchronous counter with addition and subtraction functions
  3. 所属分类:File Formats

    • 发布日期:2017-11-23
    • 文件大小:156672
    • 提供者:柠羽
  1. shijinzhi.c

    0下载:
  2. 10进制同步计数器,带一个清零端,一个进位输出端-10 N synchronous counter with a clear side, a carry output
  3. 所属分类:assembly language

    • 发布日期:2017-11-23
    • 文件大小:2355
    • 提供者:ly
  1. F161xb8

    0下载:
  2. 模块名称:4位同步计数器模块 功能描述:完成4位同步计数器的功能-Module Name: 4 Synchronous Counter Module Descr iption: Complete four synchronous counter function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:1249
    • 提供者:苏春荣
  1. VHDL

    0下载:
  2. odule vga_timing ( input wire clk_i, //输入时钟 40MHz input wire reset_i, //输入复位信号 output wire vga_pixel_flag, //输出像素有效 output reg vga_line_o, //输出水平信号 output reg vga_field_o, //输出垂直信号 output reg vga_frame_o //输出帧开始信号 ) //////////
  3. 所属分类:Special Effects

    • 发布日期:2017-04-11
    • 文件大小:1096
    • 提供者:李成
  1. Counter

    0下载:
  2. 两种方法实现的同步计数器 (包括例化的),提供全部代码。-Synchronous counter in two ways (including the example of), provided all the code.
  3. 所属分类:Other systems

    • 发布日期:2017-05-03
    • 文件大小:896220
    • 提供者:DongWu
  1. SyncounterFinal

    0下载:
  2. 在Xillinx ISE 平台上利用VHDL语言实现同步计数器,利用状态机实现,导入FPGA版点亮7段数码管并实现加、减计数功能。-The programme realizes a counter based on synchronous state machines, and it can be download to a FPGA chip.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-07
    • 文件大小:1499311
    • 提供者:木白
  1. Counter_LIUZHIWEI

    0下载:
  2. 同步计数器,利用有限状态机完成,能够完成000-999的加计数以及减计数功能-Synchronous counter which using finite state machine and able to complete the 000-999 plus count as well as the count function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:14134
    • 提供者:Jim
  1. traffic_control1

    0下载:
  2. (1) 学习和掌握了解分频电路、通用同步计数器、异步计数器的使用方法; (2) 理解Moore和Mealy两种状态机的一般编程方法,能够按工程控制需求设计相应的逻辑和时序控制程序。 以开发板上的六盏LED小灯模拟,三盏小灯模拟一个方向的红黄绿交通灯灯,用VHDL语言编程实现红绿交通灯控制程序。 -(1) to learn and master the understanding of frequency division circuit, universal synchronous
  3. 所属分类:assembly language

    • 发布日期:2017-05-06
    • 文件大小:602074
    • 提供者:Cherry_RF
  1. FPGA-Traffic-Light-Controller

    0下载:
  2. (1) 学习和掌握了解分频电路、通用同步计数器、异步计数器的使用方法; (2) 理解Moore和Mealy两种状态机的一般编程方法,能够按工程控制需求设计相应的逻辑和时序控制程序。 以开发板上的六盏LED小灯模拟,三盏小灯模拟一个方向的红黄绿交通灯灯,用VHDL语言编程实现红绿交通灯控制程序。 -(1) to learn and master the understanding of frequency division circuit, universal synchronous
  3. 所属分类:assembly language

    • 发布日期:2017-05-05
    • 文件大小:64454
    • 提供者:Cherry_RF
  1. Johnaon_counter

    0下载:
  2. 本设计为六位约翰逊(Johnson)计数器,首先给大家介绍一下什么是约翰逊计数器,它又称扭环计数器,是一种用n位触发器来表示2n个状态的计数器。它与环形计数器不同,后者用n位触发器仅可表示n个状态。2~n进制计数器(n为触发器的个数)有2~n个状态。若以6位二进制计数器为例,它可表示64个状态。但由于8421码每组代码之间可能有二位或二位以上的二进制代码发生改变,这在计数器中特别是异步计数器中就有可能产生错误的译码信号,从而造成永久性的错误。而约翰逊计数器的状态表中,相邻两组代码只可能有一位二进
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-12
    • 文件大小:6299
    • 提供者:Leegege
  1. count

    0下载:
  2. 含异步清零和同步使能的计数器的设计,可以实现计数,异步清零,同步使能等功能(The design of a counter with asynchronous zero and synchronization enables counting, asynchronous zero, synchronization and other functions)
  3. 所属分类:易语言编程

    • 发布日期:2018-04-19
    • 文件大小:10240
    • 提供者:爱冰冰
  1. cnt8updown

    0下载:
  2. 8位上下同步计数器 适宜小型练手操作 易于理解(an 8-bit up and down synchronous counter in VHDL with the following features: (1) The same ports are used for signals to be inputted and outputted. The ports are bi-directionally buffered (three-state). (2) The counter is
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:1014784
    • 提供者:名之联
  1. kebenchengxu

    0下载:
  2. VHDL代码,一些课本的小程序。包含3线-8线译码器,4选1选择器,6层电梯,8线-3线编码器,8线-3线优先编码器,8选1,BCD-7段显示译码器真值表,半加器,摩尔状态机,数字频率计,数字时钟,同步计数器,序列检测器的设计,序列信号发生器,一般状态机等等。(The small program of some textbooks. Includes 3 -8 decoder, 4 1 selector, 6 elevator, line 8 Line 8 line -3 encoder, -3
  3. 所属分类:其他

    • 发布日期:2018-04-22
    • 文件大小:40960
    • 提供者:girl_lily
  1. counter4b

    0下载:
  2. Vivado同步计数器VHDL设计 具有异步复位和同步预置数功能 同步计数器同步计数器同步计数器(The Vivado synchronous counter VHDL is designed with asynchronous reset and synchronous preset function, synchronous counter, synchronous counter and synchronous counter.)
  3. 所属分类:其他

    • 发布日期:2018-05-03
    • 文件大小:1024
    • 提供者:李毅飞表哥
« 1 23 4 5 6 7 »
搜珍网 www.dssz.com