CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 按键 计数

搜索资源列表

  1. FPGAbasedprogramable-PROWER

    0下载:
  2. 本设计提出了一个基于FPGA的程控稳压电源的方案。通过按键向FPGA输入信号,FPGA得到“十位”和“各位”计数脉冲信号,通过计数器模块计数,内部计数器的信号一路送给外部显示电路来显示当前的电压值,另一路经过D/A转换器(DAC0832)输出模拟量,再经过运算放大器隔离放大,控制输出功率管的基极,随着功率管基极电压的变化而输出不同的电压,同时实现双路输出。实际测试结果表明,本系统具有易调节,高可靠性,操作方便,电压稳定度高,其输出电压采用了数字显示的特点。-This design present
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1255803
    • 提供者:南宫崔浩
  1. DA_TLC5620

    0下载:
  2. FPGA之TLC5620:将所给程序下载到实验箱,观察现象并结合现象理解程序的含义,使其实现单通道的DA转换:在按下通道的按键之后,用数码管显示输入的数字量,停止按键,数码管计数停止,继续按键则继续计数,按下复位键,则系统清零,数码管显示零值。此程序基于Quartus的编程环境,采用Veilog语言编写。-FPGA tlc5620: to the program downloaded to the box observed phenomenon and combined with the phe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2590070
    • 提供者:丁明凯
  1. freescale-B

    0下载:
  2. 1.上电后,在数码管或者液晶上显示数字“0000”;(5分) 2.实现计时,单位为0.1S,由1计数到50,循环反复,即从“0000”显示至“0050”,后循环;(10分) 3.编写程序,使可通过按键,设置计数周期,范围为0~6000可调;(15分) 4.编写程序,使可通过按键,实现计数暂停、继续与复位的功能;(25分) 5.编写程序,通过数码管或液晶实现人机交互,即在通过按键设置计数周期时,在其上面显示当前设置的周期数;(15分) 6.*附加要求:使系统有序,即在计数中与计
  3. 所属分类:SCM

    • 发布日期:2017-05-01
    • 文件大小:42479
    • 提供者:
  1. Digital-Clock

    0下载:
  2. 信号定义: clk: 标准时钟信号,本例中,其频率为4Hz; clk_1k: 产生闹铃音、报时音的时钟信号,本例中其频率为1024Hz; mode: 功能控制信号; 为0:计时功能; 为1:闹钟功能; 为2:手动校时功能; turn: 接按键,在手动校时功能时,选择是调整小时,还是分钟; 若长时间按住该键,还可使秒信号清零,用于精确调时; change: 接按键,手动调整时,每按一次,计数器加1; 如果长按,则连续快速加1,用于快速调时和定时; hour,min,sec:此三信号分别输出并显示
  3. 所属分类:assembly language

    • 发布日期:2017-04-30
    • 文件大小:16213
    • 提供者:郑霞
  1. clock

    0下载:
  2. VHDL语言,数字钟实现时分秒计数,能够通过按键调整时间-VHDL language, when every minute counts achieve digital clock, the time can be adjusted through the key
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:9295091
    • 提供者:cjl
  1. timer

    0下载:
  2. 数字秒表,按键+数码管 上电后数码管开始计时,精度1/10秒: 按 SW2 :复位(清零后重新计数) 按 SW3 :暂停 按 SW4 :继续计数-Digital stopwatch, key+ digital tube after power digital control start timing, precision 1/10 sec: Press SW2: Reset (after a re-count is cleared) by SW3: Pause Press SW4
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:922553
    • 提供者:吴状态
  1. timecounter60sandpause

    0下载:
  2. 计时器数码管做到60s计数,外接键盘按键暂停-Digital timer 60s do count, an external keyboard to pause
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:790273
    • 提供者:hyy
  1. 006

    0下载:
  2. 单片机型号:AT89C51 模块:舵机,红外对管,直流电机,编码器,LCD显示屏 接线:比较复杂,见原理图 功能:电源通电后,调节按键,设定初始转速,之后将小车放到白线上,小车则自动沿着白线走,达到路径识别的功能。 详述:单片机启动后通过按键设置电机运行速度。红外对管检测当前白线位置,并计算偏差,输送给舵机,控制舵机转向,并沿着白线行走,编码器通过单片机进行计数并测速,实现速度闭环控制,达到恒速运行。LCD屏幕实时显示当前车速与各个参数,供用户调试。
  3. 所属分类:transportation applications

    • 发布日期:2017-04-30
    • 文件大小:145726
    • 提供者:范小飞
  1. chuzuche

    0下载:
  2. 出租车vhdl程序,并带有testbench仿真程序,通过开始按键复位,然后根据行使信号进行公里计数,起步价3公里8元钱,超过3公里一公里1元钱-Taxi vhdl program, with a testbench simulation program, started by the reset button, then the exercise kilometer count signal, starting at 3 km 8 yuan, more than three kilometer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:565668
    • 提供者:huawei
  1. key

    0下载:
  2. 基于定时器消抖的独立按键程序 并且可以实现按下时进行计数, 此程序为原型状态机程序,可在此基础上做顶层的按键程序-Based on a timer shake independent key program
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:983
    • 提供者:林小明
  1. keys_control

    0下载:
  2. 按键按下开始计数,当计数超过200次,表示按下有效,确认按键打开后,开始程序处理。-count when a key is pressed. when reached 200 times and the key is released then the code for the key starts to run.
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1619
    • 提供者:张广礼
  1. ClockTimerC51Proteus

    4下载:
  2. 可调定时器C51Proteus仿真。 功能要求: 当按下K0第1次时,允许使用K1和K2对个位数进行增减,按下第K0第2次时,允许使用K1和K2对十位数进行增减,即K0在个位和十位间进行切换,K1和K2两个按键控制数码管数字加减,用K3进行确认后数码管自动加1计数。计数的范围从00到59间循环显示,每次计数到00时,蜂鸣器响3声。-Functional requirements: must adopt. When K0 first time, allowing the use of K1
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2018-10-19
    • 文件大小:109673
    • 提供者:王萧风
  1. 数码管程序设计实验

    0下载:
  2. 实验3 数码管程序设计实验 基本内容:数码管显示从00-99,从99-00,用按键进行加计数和减计数的切换,有手动模式和自动模式的切换 扩展内容:可自行发挥
  3. 所属分类:嵌入式/单片机编程

  1. jibuqi--chengxu

    0下载:
  2. adxl345计步程序 基于51单片机 按键功能 计数功能等- 您是不是要找: adxl345计步程序 基于51单片机 按键功能 计步功能等 Adxl345 step-by-step procedures based on 51 single-chip key functions Counting function and so on
  3. 所属分类:SCM

    • 发布日期:2017-05-05
    • 文件大小:3632
    • 提供者:shihua
  1. C51-music-Count-down

    1下载:
  2. 资源中包含C51源代码、proteus仿真图以及编译好的hex文件 利用AT89C51单片机结合字符型LCD显示器设计的一个简易的倒数计数器,可用来煮方便面、煮开水或小睡片刻等。做一小段时间倒计数,当倒计数为0时,则发出一段音乐声响,通知倒计数终了,该做应当做的事。 定时闹钟的基本功能如下。 字符型LCD(16 ′ 2)显示器。 显示格式为“TIME 分分:秒秒”。 用4个按键操作来设置当前想要倒计数的时间。一旦按下键则开始倒计数,当计数为0时,发出一阵音乐声。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2017-06-07
    • 文件大小:57788
    • 提供者:mengkunsoft
  1. test4

    0下载:
  2. 本实验要求完成一个二十进制的计数器,并且通过数码管进行静态显示。在 实验中时, 选择系统时钟作为输入时钟( clk),,用两个按键输入, 当键 8 高电平, 进行复位,当键 8 低电平,键 7 高电平时,进行时能计数,所计的数在数码管上 进行显示。-This experiment requires the completion of a two-decimal counter and through digital static display. In the experiment,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:272295
    • 提供者:小方
  1. external-interrupt

    0下载:
  2. 1.单片机的INT0引脚上连接了一个按键,按键的一端接地,另一端通过上拉电阻连接到INT0,对按键被按下的事件计数,并且在3个8段数码管上显示出来。另一个普通I/O引脚上连接一个按键用于清零计数,当计数达到999或者清零按键按下时,数码管被归零,重新开始计数。 2.硬件电路要求:单片机使用P1口驱动3位7段共阳极数码管,使用P2.0—P2.2口选择用于显示的数码管,外部中断引脚INT0(P3.2) 上连接了按键S1,用于中断计数,外部引脚P3.5(T1)上连接了按键S2,用于清零计数。
  3. 所属分类:SCM

    • 发布日期:2017-12-14
    • 文件大小:16695298
    • 提供者:崔云翔
  1. maze

    1下载:
  2. 走迷宫游戏: 程序开始运行时显示一个迷宫地图,迷宫中央有一只老鼠,迷宫的右下方有一个粮仓。游戏的任务是使用键盘上的方向键操纵老鼠在规定的时间内走到粮仓处,老鼠只能按照上下左右四个方向行走。 1)图形化的界面,要求有计时、计数等方面的功能; 2)迷宫地图随机产生,地图布局用系统文件的形式保存,系统提供存盘和读出等功能; 3)走迷宫可以手工行走,按键与cs相同,也可以系统自动寻找。 4) 老鼠形象可辨认,可用键盘操纵老鼠上下左右移动; 5) 迷宫的墙足够结实,老鼠不能穿墙而过; 6) 正确检测结果,
  3. 所属分类:Other Riddle games

    • 发布日期:2017-12-11
    • 文件大小:3889152
    • 提供者:周江
  1. 5

    0下载:
  2. 8253 通道 0 工作在方式 0(脉冲计数产生中断),由按键产生单脉冲接入 CLK0、令计数初值为 0FH, 手动逐个输入单脉冲,编程在计算机屏幕上显示计数值,并用逻辑笔观察 OUT0 的状态,键盘有键按下时 返回 DOS。-8253 channel 0 0 work in way (pulse counting the interrupt), single pulse generated by the key access CLK0, counting the initial val
  3. 所属分类:assembly language

    • 发布日期:2017-12-16
    • 文件大小:2048
    • 提供者:冯斌
  1. DA_TLC5620vhd

    0下载:
  2. 通过4个按键选择不同通道,每个通道的数字量输入都可以在数码管上进行显示。 松开按键,则计数显示保持前一状态,按下按键继续计数。设置复位键,按下复位键,系统清零。 每个通道对应的模拟电压通过LED的亮度显示。-Through the 4 keys to different channels, each channel digital input can be displayed on the digital tube. Release the button, then the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-12
    • 文件大小:1843248
    • 提供者:陈杰
« 1 2 ... 5 6 7 8 9 1011 »
搜珍网 www.dssz.com